Search results for: SCR (Silicon Controlled Rectifier)
Commenced in January 2007
Frequency: Monthly
Edition: International
Paper Count: 889

Search results for: SCR (Silicon Controlled Rectifier)

859 Analysis of a Novel Strained Silicon RF LDMOS

Authors: V.Fathipour, M. A. Malakootian, S. Fathipour, M. Fathipour

Abstract:

In this paper we propose a novel RF LDMOS structure which employs a thin strained silicon layer at the top of the channel and the N-Drift region. The strain is induced by a relaxed Si0.8 Ge0.2 layer which is on top of a compositionally graded SiGe buffer. We explain the underlying physics of the device and compare the proposed device with a conventional LDMOS in terms of energy band diagram and carrier concentration. Numerical simulations of the proposed strained silicon laterally diffused MOS using a 2 dimensional device simulator indicate improvements in saturation and linear transconductance, current drivability, cut off frequency and on resistance. These improvements are however accompanied with a suppression in the break down voltage.

Keywords: High Frequency MOSFET, Design of RF LDMOS, Strained-Silicon, LDMOS.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1758
858 Three Dimensional MEMS Supercapacitor Fabricated by DRIE on Silicon Substrate

Authors: Wei Sun, Ruilin Zheng, Xuyuan Chen

Abstract:

Micro power sources are required to be used in autonomous microelectromechanical system (MEMS). In this paper,  we designed and fabricated a three dimensional (3D) MEMS supercapacitor, which is consisting of conformal silicon  dioxide/titanium/polypyrrole (PPy) layers on silicon substrate. At first, ''through-structure'' was fabricated on the silicon substrate by high-aspect-ratio deep reactive ion etching (DRIE) method, which enlarges the available surface area significantly. Then the SiO2/Ti/PPy layers grew sequentially on the ³through-structure´. Finally, the supercapacitor was investigated by electrochemical methods.

Keywords: MEMS, Supercapacitor, DRIE, 3D.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2211
857 Design of a Carbon Silicon Electrode for Iontophoresis Treatment towards Alopecia

Authors: Q. Wei, D. G. Hwang, Z. Mohy-Udin, D. H. Shin, J. H. Park, M. Y. Kang, J. H. Cho

Abstract:

This study presents design of a carbon silicon electrode for iontophorsis treatment towards alopecia. The alopecia is a medical description means loss of hair from the body. For solving this problem, the drug need to be delivered into the scalp, therefore, the iontophoresis was chosen to use in this treatment. However, almost common electrodes of iontophoresis device are made with metal material, the electrodes could give patients hurt when they using it, and it is hard to avoid the hair for attaching the hair. For this reason, an electrode is made with silicon material to decrease the hurt from the electrodes, and the carbon material is mixed in it for increasing conductance. The several cones with stainless material on the electrode make the electrode is able to void hair to attach the affected part. According to the results of a vivo-experiment, the carbon silicon electrode showed a good performance and in treatment comfortably.

Keywords: Carbon silicon, drug delivery system, iontophoresis

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1653
856 Study of Fast Etching of Silicon for the Fabrication of Bulk Micromachined MEMS Structures

Authors: V. Swarnalatha, A. V. Narasimha Rao, P. Pal

Abstract:

The present research reports the investigation of fast etching of silicon for the fabrication of microelectromechanical systems (MEMS) structures using silicon wet bulk micromachining. Low concentration tetramethyl-ammonium hydroxide (TMAH) and hydroxylamine (NH2OH) are used as main etchant and additive, respectively. The concentration of NH2OH is varied to optimize the composition to achieve best etching characteristics such as high etch rate, significantly high undercutting at convex corner for the fast release of the microstructures from the substrate, and improved etched surface morphology. These etching characteristics are studied on Si{100} and Si{110} wafers as they are most widely used in the fabrication of MEMS structures as wells diode, transistors and integrated circuits.

Keywords: KOH, MEMS, micromachining, silicon, TMAH, wet anisotropic etching.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1167
855 Artificial Voltage-Controlled Capacitance and Inductance using Voltage-Controlled Transconductance

Authors: Mansour I. Abbadi, Abdel-Rahman M. Jaradat

Abstract:

In this paper, a technique is proposed to implement an artificial voltage-controlled capacitance or inductance which can replace the well-known varactor diode in many applications. The technique is based on injecting the current of a voltage-controlled current source onto a fixed capacitor or inductor. Then, by controlling the transconductance of the current source by an external bias voltage, a voltage-controlled capacitive or inductive reactance is obtained. The proposed voltage-controlled reactance devices can be designed to work anywhere in the frequency spectrum. Practical circuits for the proposed voltage-controlled reactances are suggested and simulated.

Keywords: voltage-controlled capacitance, voltage-controlled inductance, varactor diode, variable transconductance.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 4781
854 Parameter Estimation of Diode Circuit Using Extended Kalman Filter

Authors: Amit Kumar Gautam, Sudipta Majumdar

Abstract:

This paper presents parameter estimation of a single-phase rectifier using extended Kalman filter (EKF). The state space model has been obtained using Kirchhoff’s current law (KCL) and Kirchhoff’s voltage law (KVL). The capacitor voltage and diode current of the circuit have been estimated using EKF. Simulation results validate the better accuracy of the proposed method as compared to the least mean square method (LMS). Further, EKF has the advantage that it can be used for nonlinear systems.

Keywords: Extended Kalman filter, parameter estimation, single phase rectifier, state space modelling.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 852
853 Effect of CW Laser Annealing on Silicon Surface for Application of Power Device

Authors: Satoru Kaneko, Takeshi Ito, Kensuke Akiyama, Manabu Yasui, Chihiro Kato, Satomi Tanaka, Yasuo Hirabayashi, Takeshi Ozawa, Akira Matsuno, Takashi Nire, Hiroshi Funakubo, Mamoru Yoshimoto

Abstract:

As application of re-activation of backside on power device Insulated Gate Bipolar Transistor (IGBT), laser annealing was employed to irradiate amorphous silicon substrate, and resistivities were measured using four point probe measurement. For annealing the amorphous silicon two lasers were used at wavelength of visible green (532 nm) together with Infrared (793 nm). While the green laser efficiently increased temperature at top surface the Infrared laser reached more deep inside and was effective for melting the top surface. A finite element method was employed to evaluate time dependent thermal distribution in silicon substrate.

Keywords: laser, annealing, silicon, recrystallization, thermal distribution, resistivity, finite element method, absorption, melting point, latent heat of fusion.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2825
852 High Temperature Hydrogen Sensors Based On Pd/Ta2O5/SiC MOS Capacitor

Authors: J. H. Choi, S. J. Kim, M. S. Jung, S. J. Kim, S. J. Joo, S. C. Kim

Abstract:

There are a many of needs for the development of SiC-based hydrogen sensor for harsh environment applications. We fabricated and investigated Pd/Ta2O5/SiC-based hydrogen sensors with MOS capacitor structure for high temperature process monitoring and leak detection applications in such automotive, chemical and petroleum industries as well as direct monitoring of combustion processes. In this work, we used silicon carbide (SiC) as a substrate to replace silicon which operating temperatures are limited to below 200°C. Tantalum oxide was investigated as dielectric layer which has high permeability for hydrogen gas and high dielectric permittivity, compared with silicon dioxide or silicon nitride. Then, electrical response properties, such as I-V curve and dependence of capacitance on hydrogen concentrations were analyzed in the temperature ranges of room temperature to 500°C for performance evaluation of the sensor.

Keywords: High temperature, hydrogen sensor, SiC, Ta2O5 dielectric layer.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2020
851 Silicon Application and Nitrogen on Yield and Yield Components in Rice (Oryza sativa L.) in Two Irrigation Systems

Authors: Abbas Ghanbari-Malidareh

Abstract:

Silicon is a beneficial element for plant growth. It helps plants to overcome multiple stresses, alleviates metal toxicity and improves nutrient imbalance. Field experiment was conducted as split-split plot arranged in a randomized complete block design with four replications. Irrigation system include continues flooding and deficit as main plots and nitrogen rates N0, N46, N92, and N138 kg/ha as sub plots and silicon rates Si0 & Si500 kg/ha as sub-subplots. Results indicate that grain yield had not significant difference between irrigation systems. Flooding irrigation had higher biological yield than deficit irrigation whereas, no significant difference in grain and straw yield. Nitrogen application increased grain, biological and straw yield. Silicon application increased grain, biological and straw yield but, decreased harvest index. Flooding irrigation had higher number of total tillers / hill than deficit irrigation, but deficit irrigation had higher number of fertile tillers / hill than flooding irrigation. Silicon increased number of filled spikelet and decreased blank spikelet. With high nitrogen application decreased 1000-grain weight. It can be concluded that if the nitrogen application was high and water supplied was available we could have silicon application until increase grain yield.

Keywords: Grain yield, Irrigation, Nitrogen, Rice, Silicon.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 3211
850 An Active Rectifier with Time-Domain Delay Compensation to Enhance the Power Conversion Efficiency

Authors: Shao-Ku Kao

Abstract:

This paper presents an active rectifier with time-domain delay compensation to enhance the efficiency. A delay calibration circuit is designed to convert delay time to voltage and adaptive control on/off delay in variable input voltage. This circuit is designed in 0.18 mm CMOS process. The input voltage range is from 2 V to 3.6 V with the output voltage from 1.8 V to 3.4 V. The efficiency can maintain more than 85% when the load from 50 Ω ~ 1500 Ω for 3.6 V input voltage. The maximum efficiency is 92.4 % at output power to be 38.6 mW for 3.6 V input voltage.

Keywords: Wireless power transfer, active diode, delay compensation, time to voltage converter, PCE.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 716
849 Fabrication of Cylindrical Silicon Nanowire-Embedded Field Effect Transistor Using Al2O3 Transfer Layer

Authors: Sang Hoon Lee, Tae Il Lee, Su Jeong Lee, Jae Min Myoung

Abstract:

In order to manufacture short gap single Si nanowire (NW) field effect transistor (FET) by imprinting and transferring method, we introduce the method using Al2O3 sacrificial layer. The diameters of cylindrical Si NW addressed between Au electrodes by dielectrophoretic (DEP) alignment method are controlled to 106, 128, and 148 nm. After imprinting and transfer process, cylindrical Si NW is embedded in PVP adhesive and dielectric layer. By curing transferred cylindrical Si NW and Au electrodes on PVP-coated p++ Si substrate with 200nm-thick SiO2, 3μm gap Si NW FET fabrication was completed. As the diameter of embedded Si NW increases, the mobility of FET increases from 80.51 to 121.24 cm2/V·s and the threshold voltage moves from –7.17 to –2.44 V because the ratio of surface to volume gets reduced.

Keywords: Al2O3 Sacrificial transfer layer, cylindrical silicon nanowires, Dielectrophorestic alignment, Field effect transistor.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2074
848 Nanoindentation Behaviour and Microstructural Evolution of Annealed Single-Crystal Silicon

Authors: Woei-Shyan Lee, Shuo-Ling Chang

Abstract:

The nanoindentation behaviour and phase transformation of annealed single-crystal silicon wafers are examined. The silicon specimens are annealed at temperatures of 250, 350 and 450ºC, respectively, for 15 minutes and are then indented to maximum loads of 30, 50 and 70 mN. The phase changes induced in the indented specimens are observed using transmission electron microscopy (TEM) and micro-Raman scattering spectroscopy (RSS). For all annealing temperatures, an elbow feature is observed in the unloading curve following indentation to a maximum load of 30 mN. Under higher loads of 50 mN and 70 mN, respectively, the elbow feature is replaced by a pop-out event. The elbow feature reveals a complete amorphous phase transformation within the indented zone, whereas the pop-out event indicates the formation of Si XII and Si III phases. The experimental results show that the formation of these crystalline silicon phases increases with an increasing annealing temperature and indentation load. The hardness and Young’s modulus both decrease as the annealing temperature and indentation load are increased.

Keywords: Nanoindentation, silicon, phase transformation, amorphous, annealing.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1846
847 Molecular Electronic Devices based on Carotenoid Derivatives

Authors: Vicente F. P. Aleixo, Augusto C. F. Saraiva, Jordan Del Nero

Abstract:

The production of devices in nanoscale with specific molecular rectifying function is one of the most significant goals in state-of-art technology. In this work we show by ab initio quantum mechanics calculations coupled with non-equilibrium Green function, the design of an organic two-terminal device. These molecular structures have molecular source and drain with several bridge length (from five up to 11 double bonds). Our results are consistent with significant features as a molecular rectifier and can be raised up as: (a) it can be used as bi-directional symmetrical rectifier; (b) two devices integrated in one (FET with one operational region, and Thyristor thiristor); (c) Inherent stability due small intrinsic capacitance under forward/reverse bias. We utilize a scheme for the transport mechanism based on previous properties of ¤Ç bonds type that can be successfully utilized to construct organic nanodevices.

Keywords: ab initio, Carotenoid, Charge Transfer, Nanodevice

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1587
846 Exploiting Silicon-on-Insulator Microring Resonator Bistability Behavior for All Optical Set-Reset Flip-Flop

Authors: P. Nadimi, D. D. Caviglia, E. Di Zitti

Abstract:

We propose an all optical flip-flop circuit composedof two Silicon-on-insulator microring resonators coupled to straightwaveguides by exploiting the optical bistability behavior due to thenonlinear Kerr effect. We used the transfer matrix analysis toinvestigate continuous wave propagation through microrings, as wellwe considered the nonlinear switching characteristics of an opticaldevice using a double-coupler silicon ring resonator in presence ofthe Kerr nonlinearity, thus obtaining the bistability behavior of theoutput port, the drop port and also inside the silicon microringresonator. It is shown that the bistability behavior depends on thecontrol of the input wavelength.KeywordsAll optical flip-flops, Kerr effect, microringresonator, optical bistability.

Keywords: All optical flip-flops, Kerr effect, microring resonator, optical bistability.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2086
845 The Effect of Silicon on Cadmium Stress in Echium amoenum

Authors: Janet Amiri, Shekoofeh Entesari, Kourosh Delavar, Mahshid Saadatmand, Nasrin Aghamohammad Rafie

Abstract:

The beneficial effects of Si are mainly associated with its high deposition in plant tissue and enhancing their strength and rigidity. We investigated the role of Si against cadmium stress in (Echium C) in house green condition. When the seventh leaves was be appeared, plants were pretreated with five levels of Si: 0, 0.2, 0.5, 0.7and 1.5 mM Si (as sodium trisilicate, Na2(SiO2)3) and after that plants were treated with two levels of Cd (30 and 90 mM). The effects of Silicon and Cd were investigated on some physiological and biochemical parameters such as: lipid peroxidation (malondialdehyde (MDA) and other aldehydes, antocyanin and flavonoid content. Our results showed that Cd significantly increased MDA, other aldehydes, antocyanin and flavonoids content in Echium and silicon offset the negative effect and increased tolerance of Echium against Cd stress. From this results we concluded that Si increase membrane integrity and antioxidative ability in this plant against cd stress.

Keywords: Silicon, Cadmium, Echium, MDA, antocyanin, flavonoid

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1887
844 Effects of Silicon Oxide Filler Material and Fibre Orientation on Erosive Wear of GF/EP Composites

Authors: M. Bagci, H. Imrek, Omari M. Khalfan

Abstract:

Materials added to the matrix help improving operating properties of a composite. This experimental study has targeted to investigate this aim where Silicon Oxide particles were added to glass fibre and epoxy resin at an amount of 15% to the main material to obtain a sort of new composite material. Erosive wear behavior of epoxy-resin dipped composite materials reinforced with glass fibre and Silicon Oxide under three different impingement angles (30°, 60° and 90°), three different impact velocities (23, 34 and 53 m/s), two different angular Aluminum abrasive particle sizes (approximately 200 and 400 μm) and the fibre orientation of 45° (45/-45) were investigated. In the test results, erosion rates were obtained as functions of impingement angles, impact velocities, particle sizes and fibre orientation. Moreover, materials with addition of Silicon Oxide filler material exhibited lower wear as compared to neat materials with no added filler material. In addition, SEM views showing worn out surfaces of the test specimens were scrutinized.

Keywords: Erosive wear, fibre orientation, GF/EP, silicon oxide.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2520
843 Dextran Modified Silicon Photonic Microring Resonator Sensors

Authors: Jessie Yiying Quah, Vivian Netto, Jack Sheng Kee, Eric Mouchel La Fosse, Mi Kyoung Park

Abstract:

We present a dextran modified silicon microring resonator sensor for high density antibody immobilization. An array of sensors consisting of three sensor rings and a reference ring was fabricated and its surface sensitivity and the limit of detection were obtained using polyelectrolyte multilayers. The mass sensitivity and the limit of detection of the fabricated sensor ring are 0.35 nm/ng mm-2 and 42.8 pg/mm2 in air, respectively. Dextran modified sensor surface was successfully prepared by covalent grafting of oxidized dextran on 3-aminopropyltriethoxysilane (APTES) modified silicon sensor surface. The antibody immobilization on hydrogel dextran matrix improves 40% compared to traditional antibody immobilization method via APTES and glutaraldehyde linkage.

Keywords: Antibody immobilization, Dextran, Immunosensor, Label-free detection, Silicon micro-ring resonator

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2231
842 Analysis and Design of Simultaneous Dual Band Harvesting System with Enhanced Efficiency

Authors: Zina Saheb, Ezz El-Masry, Jean-François Bousquet

Abstract:

This paper presents an enhanced efficiency simultaneous dual band energy harvesting system for wireless body area network. A bulk biasing is used to enhance the efficiency of the adapted rectifier design to reduce Vth of MOSFET. The presented circuit harvests the radio frequency (RF) energy from two frequency bands: 1 GHz and 2.4 GHz. It is designed with TSMC 65-nm CMOS technology and high quality factor dual matching network to boost the input voltage. Full circuit analysis and modeling is demonstrated. The simulation results demonstrate a harvester with an efficiency of 23% at 1 GHz and 46% at 2.4 GHz at an input power as low as -30 dBm.

Keywords: Energy harvester, simultaneous, dual band, CMOS, differential rectifier, voltage boosting, TSMC 65nm.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1613
841 Improvement of Short Channel Effects in Cylindrical Strained Silicon Nanowire Transistor

Authors: Fatemeh Karimi, Morteza Fathipour, Hamdam Ghanatian, Vala Fathipour

Abstract:

In this paper we investigate the electrical characteristics of a new structure of gate all around strained silicon nanowire field effect transistors (FETs) with dual dielectrics by changing the radius (RSiGe) of silicon-germanium (SiGe) wire and gate dielectric. Indeed the effect of high-κ dielectric on Field Induced Barrier Lowering (FIBL) has been studied. Due to the higher electron mobility in tensile strained silicon, the n-type FETs with strained silicon channel have better drain current compare with the pure Si one. In this structure gate dielectric divided in two parts, we have used high-κ dielectric near the source and low-κ dielectric near the drain to reduce the short channel effects. By this structure short channel effects such as FIBL will be reduced indeed by increasing the RSiGe, ID-VD characteristics will be improved. The leakage current and transfer characteristics, the threshold-voltage (Vt), the drain induced barrier height lowering (DIBL), are estimated with respect to, gate bias (VG), RSiGe and different gate dielectrics. For short channel effects, such as DIBL, gate all around strained silicon nanowire FET have similar characteristics with the pure Si one while dual dielectrics can improve short channel effects in this structure.

Keywords: SNWT (silicon nanowire transistor), Tensile Strain, high-κ dielectric, Field Induced Barrier Lowering (FIBL), cylindricalnano wire (CW), drain induced barrier lowering (DIBL).

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1966
840 Modeling of Silicon Solar Cell with Anti-Reflecting Coating

Authors: Ankita Gaur, Mouli Karmakar, Shyam

Abstract:

In this study, a silicon solar cell has been modeled and analyzed to enhance its performance by improving the optical properties using an anti-reflecting coating (ARC). The dynamic optical reflectance, transmittance along with the net transmissivity absorptivity product of each layer are assessed as per the diurnal variation of the angle of incidence using MATLAB 2019. The model is tested with various anti-reflective coatings and the performance has also been compared with uncoated cells. ARC improves the optical transmittance of the photon. Higher transmittance of ⁓96.57% with lowest reflectance of ⁓ 1.74% at 12.00 hours was obtained with MgF2 coated silicon cells. The electrical efficiency of the configured solar cell was evaluated for a composite climate of New Delhi, India, for all weather conditions. The annual electricity generation for anti-reflective coated and uncoated crystalline silicon PV Module was observed to be 103.14 KWh and 99.51 KWh, respectively.

Keywords: Anti-reflecting coating, electrical efficiency, reflectance, solar cell, transmittance.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 453
839 Light Emission Enhancement of Silicon Nanocrystals by Gold Layer

Authors: R. Karmouch

Abstract:

A thin gold metal layer was deposited on the top of silicon oxide films containing embedded Si nanocrystals (Si-nc). The sample was annealed in a gas containing nitrogen, and subsequently characterized by photoluminescence. We obtained 3-fold enhancement of photon emission from the Si-nc embedded in silicon dioxide covered with a Gold layer as compared with an uncovered sample. We attribute this enhancement to the increase of the spontaneous emission rate caused by the coupling of the Si-nc emitters with the surface plasmons (SP). The evolution of PL emission with laser irradiated time was also collected from covered samples, and compared to that from uncovered samples. In an uncovered sample, the PL intensity decreases with time, approximately with two decay constants. Although the decrease of the initial PL intensity associated with the increase of sample temperature under CW pumping is still observed in samples covered with a gold layer, this film significantly contributes to reduce the permanent deterioration of the PL intensity. The resistance to degradation of light-emitting silicon nanocrystals can be increased by SP coupling to suppress the permanent deterioration. Controlling the permanent photodeterioration can allow to perform a reliable optical gain measurement.

Keywords: Photodeterioration, Silicon Nanocrystals, Ion Implantation, Photoluminescence, Surface Plasmons.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1821
838 Topochemical Synthesis of Epitaxial Silicon Carbide on Silicon

Authors: Andrey V. Osipov, Sergey A. Kukushkin, Andrey V. Luk’yanov

Abstract:

A method is developed for the solid-phase synthesis of epitaxial layers when the substrate itself is involved into a topochemical reaction and the reaction product grows in the interior of substrate layer. It opens up new possibilities for the relaxation of the elastic energy due to the attraction of point defects formed during the topochemical reaction in anisotropic media. The presented method of silicon carbide (SiC) formation employs a topochemical reaction between the single-crystalline silicon (Si) substrate and gaseous carbon monoxide (CO). The corresponding theory of interaction of point dilatation centers in anisotropic crystals is developed. It is eliminated that the most advantageous location of the point defects is the direction (111) in crystals with cubic symmetry. The single-crystal SiC films with the thickness up to 200 nm have been grown on Si (111) substrates owing to the topochemical reaction with CO. Grown high-quality single-crystal SiC films do not contain misfit dislocations despite the huge lattice mismatch value of ~20%. Also the possibility of growing of thick wide-gap semiconductor films on these templates SiC/Si(111) and, accordingly, its integration into Si electronics, is demonstrated. Finally, the ab initio theory of SiC formation due to the topochemical reaction has been developed.

Keywords: Epitaxy, silicon carbide, topochemical reaction, wide-bandgap semiconductors.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1037
837 Impact of Process Variations on the Vertical Silicon Nanowire Tunneling FET (TFET)

Authors: Z. X. Chen, T. S. Phua, X. P. Wang, G. -Q. Lo, D. -L. Kwong

Abstract:

This paper presents device simulations on the vertical silicon nanowire tunneling FET (VSiNW TFET). Simulations show that a narrow nanowire and thin gate oxide is required for good performance, which is expected even for conventional MOSFETs. The gate length also needs to be more than the nanowire diameter to prevent short channel effects. An effect more unique to TFET is the need for abrupt source to channel junction, which is shown to improve the performance. The ambipolar effect suppression by reducing drain doping concentration is also explored and shown to have little or no effect on performance.

Keywords: Device simulation, MEDICI, tunneling FET (TFET), vertical silicon nanowire.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2581
836 CMOS-Compatible Silicon Nanoplasmonics for On-Chip Integration

Authors: Shiyang Zhu, Guo-Qiang Lo, Dim-Lee Kwong

Abstract:

Although silicon photonic devices provide a significantly larger bandwidth and dissipate a substantially less power than the electronic devices, they suffer from a large size due to the fundamental diffraction limit and the weak optical response of Si. A potential solution is to exploit Si plasmonics, which may not only miniaturize the photonic device far beyond the diffraction limit, but also enhance the optical response in Si due to the electromagnetic field confinement. In this paper, we discuss and summarize the recently developed metal-insulator-Si-insulator-metal nanoplasmonic waveguide as well as various passive and active plasmonic components based on this waveguide, including coupler, bend, power splitter, ring resonator, MZI, modulator, detector, etc. All these plasmonic components are CMOS compatible and could be integrated with electronic and conventional dielectric photonic devices on the same SOI chip. More potential plasmonic devices as well as plasmonic nanocircuits with complex functionalities are also addressed.

Keywords: Silicon nanoplasmonics, Silicon nanophotonics, Onchip integration, CMOS

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1863
835 Nano-Texturing of Single Crystalline Silicon via Cu-Catalyzed Chemical Etching

Authors: A. A. Abaker Omer, H. B. Mohamed Balh, W. Liu, A. Abas, J. Yu, S. Li, W. Ma, W. El Kolaly, Y. Y. Ahmed Abuker

Abstract:

We have discovered an important technical solution that could make new approaches in the processing of wet silicon etching, especially in the production of photovoltaic cells. During its inferior light-trapping and structural properties, the inverted pyramid structure outperforms the conventional pyramid textures and black silicone. The traditional pyramid textures and black silicon can only be accomplished with more advanced lithography, laser processing, etc. Importantly, our data demonstrate the feasibility of an inverted pyramidal structure of silicon via one-step Cu-catalyzed chemical etching (CCCE) in Cu (NO3)2/HF/H2O2/H2O solutions. The effects of etching time and reaction temperature on surface geometry and light trapping were systematically investigated. The conclusion shows that the inverted pyramid structure has ultra-low reflectivity of ~4.2% in the wavelength of 300~1000 nm; introduce of Cu particles can significantly accelerate the dissolution of the silicon wafer. The etching and the inverted pyramid structure formation mechanism are discussed. Inverted pyramid structure with outstanding anti-reflectivity includes useful applications throughout the manufacture of semi-conductive industry-compatible solar cells, and can have significant impacts on industry colleagues and populations.

Keywords: Cu-catalyzed chemical etching, inverted pyramid nanostructured, reflection, solar cells.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 813
834 Numerical Modelling of Surface Waves Generated by Low Frequency Electromagnetic Field for Silicon Refinement Process

Authors: V. Geza, J. Vencels, G. Zageris, S. Pavlovs

Abstract:

One of the most perspective methods to produce SoG-Si is refinement via metallurgical route. The most critical part of this route is refinement from boron and phosphorus. Therefore, a new approach could address this problem. We propose an approach of creating surface waves on silicon melt’s surface in order to enlarge its area and accelerate removal of boron via chemical reactions and evaporation of phosphorus. A two dimensional numerical model is created which includes coupling of electromagnetic and fluid dynamic simulations with free surface dynamics. First results show behaviour similar to experimental results from literature.

Keywords: Numerical modelling, silicon refinement, surface waves, VOF method.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 749
833 Optimization of Thermopile Sensor Performance of Polycrystalline Silicon Film

Authors: Li Long, Thomas Ortlepp

Abstract:

A theoretical model for the optimization of thermopile sensor performance is developed for thermoelectric-based infrared radiation detection. It is shown that the performance of polycrystalline silicon film thermopile sensor can be optimized according to the thermoelectric quality factor, sensor layer structure factor and sensor layout shape factor. Based on the properties of electrons, phonons, grain boundaries and their interactions, the thermoelectric quality factor of polycrystalline silicon is analyzed with the relaxation time approximation of Boltzmann transport equation. The model includes the effects of grain structure, grain boundary trap properties and doping concentration. The layer structure factor of sensor is analyzed with respect to infrared absorption coefficient. The effect of layout design is characterized with the shape factor, which is calculated for different sensor designs. Double layer polycrystalline silicon thermopile infrared sensors on suspended support membrane have been designed and fabricated with a CMOS-compatible process. The theoretical approach is confirmed with measurement results.

Keywords: Polycrystalline silicon film, relaxation time approximation, specific detectivity, thermal conductivity, thermopile infrared sensor.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 153
832 Vertical GAA Silicon Nanowire Transistor with Impact of Temperature on Device Parameters

Authors: N. Shen, Z. X. Chen, K.D. Buddharaju, H. M. Chua, X. Li, N. Singh, G.Q Lo, D.-L. Kwong

Abstract:

In this paper, we present a vertical wire NMOS device fabricated using CMOS compatible processes. The impact of temperature on various device parameters is investigated in view of usual increase in surrounding temperature with device density.

Keywords: Gate-all-around, temperature dependence, silicon nanowire

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1815
831 Highly Efficient Silicon Photomultiplier for Positron Emission Tomography Application

Authors: Fei Sun, Ning Duan, Guo-Qiang Lo

Abstract:

A silicon photomultiplier (SiPM) was designed, fabricated and characterized. The SiPM was based on SACM (Separation of Absorption, Charge and Multiplication) structure, which was optimized for blue light detection in application of positron emission tomography (PET). The achieved SiPM array has a high geometric fill factor of 64% and a low breakdown voltage of about 22V, while the temperature dependence of breakdown voltage is only 17mV/°C. The gain and photon detection efficiency of the device achieved were also measured under illumination of light at 405nm and 460nm wavelengths. The gain of the device is in the order of 106. The photon detection efficiency up to 60% has been observed under 1.8V overvoltage.

Keywords: Photon Detection Efficiency, Positron Emission Tomography, Silicon Photomultiplier.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1692
830 Silicon Nanowire for Thermoelectric Applications: Effects of Contact Resistance

Authors: Y. Li, K. Buddharaju, N. Singh, G. Q. Lo, S. J. Lee

Abstract:

Silicon nanowire (SiNW) based thermoelectric device (TED) has potential applications in areas such as chip level cooling/ energy harvesting. It is a great challenge however, to assemble an efficient device with these SiNW. The presence of parasitic in the form of interfacial electrical resistance will have a significant impact on the performance of the TED. In this work, we explore the effect of the electrical contact resistance on the performance of a TED. Numerical simulations are performed on SiNW to investigate such effects on its cooling performance. Intrinsically, SiNW individually without the unwanted parasitic effect has excellent cooling power density. However, the cooling effect is undermined with the contribution of the electrical contact resistance.

Keywords: Thermoelectric, silicon, nanowire, electrical contact resistance, parasitics.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2058