Search results for: leakage
Commenced in January 2007
Frequency: Monthly
Edition: International
Paper Count: 136

Search results for: leakage

136 A Power-Gating Scheme to Reduce Leakage Power for P-type Adiabatic Logic Circuits

Authors: Hong Li, Linfeng Li, Jianping Hu

Abstract:

With rapid technology scaling, the proportion of the static power consumption catches up with dynamic power consumption gradually. To decrease leakage consumption is becoming more and more important in low-power design. This paper presents a power-gating scheme for P-DTGAL (p-type dual transmission gate adiabatic logic) circuits to reduce leakage power dissipations under deep submicron process. The energy dissipations of P-DTGAL circuits with power-gating scheme are investigated in different processes, frequencies and active ratios. BSIM4 model is adopted to reflect the characteristics of the leakage currents. HSPICE simulations show that the leakage loss is greatly reduced by using the P-DTGAL with power-gating techniques.

Keywords: Leakage reduction, low power, deep submicronCMOS circuits, P-type adiabatic circuits.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1889
135 Difference of Properties on Surface Leakage and Discharge Currents of Porcelain Insulator Material

Authors: Waluyo, Ngapuli I. Sinisuka, Suwarno, Maman A. Djauhari

Abstract:

This paper presents the experimental results of comparison between leakage currents and discharge currents. The leakage currents were obtained on polluted porcelain insulator. Whereas, the discharge currents were obtained on lightly artificial polluted porcelain specimen. The conducted measurements were leakage current or discharge current and applied voltage. The insulator or specimen was in a hermetically sealed chamber, and the current waveforms were analyzed using FFT. The result indicated that the leakage current (LC) on low RH condition the fifth harmonic would be visible, and followed by the seventh harmonic. The insulator had capacitive property. Otherwise, on 99% relative humidity, the fifth harmonic would also be visible, and the phase angle reached up to 12.2 degree. Whereas, on discharge current, the third harmonic would be visible, and followed by fifth harmonic. The third harmonic would increase as pressure reduced. On this condition, the specimen had a non-linear characteristics

Keywords: leakage current, discharge current, third harmonic, fifth harmonic, porcelain.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1601
134 Study on Leakage Current Waveforms of Porcelain Insulator due to Various Artificial Pollutants

Authors: Waluyo, Parouli M. Pakpahan, Suwarno, Maman A. Djauhari

Abstract:

This paper presents the experimental results of leakage current waveforms which appears on porcelain insulator surface due to existence of artificial pollutants. The tests have been done using the chemical compounds of NaCl, Na2SiO3, H2SO4, CaO, Na2SO4, KCl, Al2SO4, MgSO4, FeCl3, and TiO2. The insulator surface was coated with those compounds and dried. Then, it was tested in the chamber where the high voltage was applied. Using correspondence analysis, the result indicated that the fundamental harmonic of leakage current was very close to the applied voltage and third harmonic leakage current was close to the yielded leakage current amplitude. The first harmonic power was correlated to first harmonic amplitude of leakage current, and third harmonic power was close to third harmonic one. The chemical compounds of H2SO4 and Na2SiO3 affected to the power factor of around 70%. Both are the most conductive, due to the power factor drastically increase among the chemical compounds.

Keywords: Chemical compound, harmonic, porcelain insulator, leakage current.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1802
133 Research on Axial End Flux Leakage and Detent Force of Transverse Flux PM Linear Machine

Authors: W. R. Li, J. K. Xia, R. Q. Peng, Z. Y. Guo, L. Jiang

Abstract:

According to 3D magnetic circuit of the transverse flux PM linear machine, distribution law is presented, and analytical expression of axial end flux leakage is derived using numerical method. Maxwell stress tensor is used to solve detent force of mover. A 3D finite element model of the transverse flux PM machine is built to analyze the flux distribution and detent force. Experimental results of the prototype verified the validity of axial end flux leakage and detent force theoretical derivation, the research on axial end flux leakage and detent force provides a valuable reference to other types of linear machine.

Keywords: Transverse flux PM linear machine, flux distribution, axial end flux leakage, detent force.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1516
132 Low Leakage MUX/XOR Functions Using Symmetric and Asymmetric FinFETs

Authors: Farid Moshgelani, Dhamin Al-Khalili, Côme Rozon

Abstract:

In this paper, FinFET devices are analyzed with emphasis on sub-threshold leakage current control. This is achieved through proper biasing of the back gate, and through the use of asymmetric work functions for the four terminal FinFET devices. We are also examining different configurations of multiplexers and XOR gates using transistors of symmetric and asymmetric work functions. Based on extensive characterization data for MUX circuits, our proposed configuration using symmetric devices lead to leakage current and delay improvements of 65% and 47% respectively compared to results in the literature. For XOR gates, a 90% improvement in the average leakage current is achieved by using asymmetric devices. All simulations are based on a 25nm FinFET technology using the University of Florida UFDG model.

Keywords: FinFET, logic functions, asymmetric workfunction devices, back gate biasing, sub-threshold leakage current.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2817
131 Leakage Reduction ONOFIC Approach for Deep Submicron VLSI Circuits Design

Authors: Vijay Kumar Sharma, Manisha Pattanaik, Balwinder Raj

Abstract:

Minimizations of power dissipation, chip area with higher circuit performance are the necessary and key parameters in deep submicron regime. The leakage current increases sharply in deep submicron regime and directly affected the power dissipation of the logic circuits. In deep submicron region the power dissipation as well as high performance is the crucial concern since increasing importance of portable systems. Number of leakage reduction techniques employed to reduce the leakage current in deep submicron region but they have some trade-off to control the leakage current. ONOFIC approach gives an excellent agreement between power dissipation and propagation delay for designing the efficient CMOS logic circuits. In this article ONOFIC approach is compared with LECTOR technique and output results show that ONOFIC approach significantly reduces the power dissipation and enhance the speed of the logic circuits. The lower power delay product is the big outcome of this approach and makes it an influential leakage reduction technique.

Keywords: Deep submicron, Leakage Current, LECTOR, ONOFIC, Power Delay Product

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2453
130 Numerical and Experimental Study of Flow from a Leaking Buried Pipe in an Unsaturated Porous Media

Authors: S.M.Hosseinalipour, H.Aghakhani

Abstract:

Considering the numerous applications of the study of the flow due to leakage in a buried pipe in unsaturated porous media, finding a proper model to explain the influence of the effective factors is of great importance.There are various important factors involved in this type of flow such as: pipe leakage size and location, burial depth, the degree of the saturation of the surrounding porous medium, characteristics of the porous medium, fluid type and pressure of the upstream.In this study, the flow through unsaturated porous media due to leakage of a buried pipe for up and down leakage location is studied experimentally and numerically and their results are compared. Study results show that Darcy equation together with BCM method (for calculating the relative permeability) have suitable ability for predicting the flow due to leakage of buried pipes in unsaturated porous media.

Keywords: Buried, Leaking pipe, Porous media, Unsaturated

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2329
129 Reduction of Leakage Power in Digital Logic Circuits Using Stacking Technique in 45 Nanometer Regime

Authors: P.K. Sharma, B. Bhargava, S. Akashe

Abstract:

Power dissipation due to leakage current in the digital circuits is a biggest factor which is considered specially while designing nanoscale circuits. This paper is exploring the ideas of reducing leakage current in static CMOS circuits by stacking the transistors in increasing numbers. Clearly it means that the stacking of OFF transistors in large numbers result a significant reduction in power dissipation. Increase in source voltage of NMOS transistor minimizes the leakage current. Thus stacking technique makes circuit with minimum power dissipation losses due to leakage current. Also some of digital circuits such as full adder, D flip flop and 6T SRAM have been simulated in this paper, with the application of reduction technique on ‘cadence virtuoso tool’ using specter at 45nm technology with supply voltage 0.7V.

Keywords: Stack, 6T SRAM cell, low power, threshold voltage

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 3364
128 Design of a Hand-Held, Clamp-on, Leakage Current Sensor for High Voltage Direct Current Insulators

Authors: Morné Roman, Robert van Zyl, Nishanth Parus, Nishal Mahatho

Abstract:

Leakage current monitoring for high voltage transmission line insulators is of interest as a performance indicator. Presently, to the best of our knowledge, there is no commercially available, clamp-on type, non-intrusive device for measuring leakage current on energised high voltage direct current (HVDC) transmission line insulators. The South African power utility, Eskom, is investigating the development of such a hand-held sensor for two important applications; first, for continuous real-time condition monitoring of HVDC line insulators and, second, for use by live line workers to determine if it is safe to work on energised insulators. In this paper, a DC leakage current sensor based on magnetic field sensing techniques is developed. The magnetic field sensor used in the prototype can also detect alternating current up to 5 MHz. The DC leakage current prototype detects the magnetic field associated with the current flowing on the surface of the insulator. Preliminary HVDC leakage current measurements are performed on glass insulators. The results show that the prototype can accurately measure leakage current in the specified current range of 1-200 mA. The influence of external fields from the HVDC line itself on the leakage current measurements is mitigated through a differential magnetometer sensing technique. Thus, the developed sensor can perform measurements on in-service HVDC insulators. The research contributes to the body of knowledge by providing a sensor to measure leakage current on energised HVDC insulators non-intrusively. This sensor can also be used by live line workers to inform them whether or not it is safe to perform maintenance on energized insulators.

Keywords: Direct current, insulator, leakage current, live line, magnetic field, sensor, transmission lines.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 849
127 A Double PWM Source Inverter Technique with Reduced Leakage Current for Application on Standalone Systems

Authors: Md. Noman Habib Khan, S. Khan, T. S. Gunawan, R. I. Boby

Abstract:

The photovoltaic (PV) panel with no galvanic isolation system is well known technique in the world which is effective and delivers power with enhanced efficiency. The PV generation presented here is for stand-alone system installed in remote areas when as the resulting power gets connected to electronic load installation instead of being tied to the grid. Though very small, even then transformer-less topology is shown to be with leakage in pico-ampere range. By using PWM technique PWM, leakage current in different situations is shown. The results shown in this paper show how the pico-ampere current is reduced to femto-ampere through use of inductors and capacitors of suitable values of inductor and capacitors with the load.

Keywords: Photovoltaic (PV) panel, Duty cycle, Pulse Duration Modulation (PDM), Leakage current.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1412
126 A Novel Nano-Scaled SRAM Cell

Authors: Arash Azizi Mazreah, Mohammad Reza Sahebi, Mohammad T. Manzuri Shalmani

Abstract:

To help overcome limits to the density of conventional SRAMs and leakage current of SRAM cell in nanoscaled CMOS technology, we have developed a four-transistor SRAM cell. The newly developed CMOS four-transistor SRAM cell uses one word-line and one bit-line during read/write operation. This cell retains its data with leakage current and positive feedback without refresh cycle. The new cell size is 19% smaller than a conventional six-transistor cell using same design rules. Also the leakage current of new cell is 60% smaller than a conventional sixtransistor SRAM cell. Simulation result in 65nm CMOS technology shows new cell has correct operation during read/write operation and idle mode.

Keywords: SRAM Cell, leakage current, cell area.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1707
125 A Novel Source/Drain-to-Gate Non-overlap MOSFET to Reduce Gate Leakage Current in Nano Regime

Authors: Ashwani K. Rana, Narottam Chand, Vinod Kapoor

Abstract:

In this paper, gate leakage current has been mitigated by the use of novel nanoscale MOSFET with Source/Drain-to-Gate Non-overlapped and high-k spacer structure for the first time. A compact analytical model has been developed to study the gate leakage behaviour of proposed MOSFET structure. The result obtained has found good agreement with the Sentaurus Simulation. Fringing gate electric field through the dielectric spacer induces inversion layer in the non-overlap region to act as extended S/D region. It is found that optimal Source/Drain-to-Gate Non-overlapped and high-k spacer structure has reduced the gate leakage current to great extent as compared to those of an overlapped structure. Further, the proposed structure had improved off current, subthreshold slope and DIBL characteristic. It is concluded that this structure solves the problem of high leakage current without introducing the extra series resistance.

Keywords: Gate tunneling current, analytical model, spacer dielectrics, DIBL, subthreshold slope.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2541
124 Global Exponential Stability of Impulsive BAM Fuzzy Cellular Neural Networks with Time Delays in the Leakage Terms

Authors: Liping Zhang, Kelin Li

Abstract:

In this paper, a class of impulsive BAM fuzzy cellular neural networks with time delays in the leakage terms is formulated and investigated. By establishing a delay differential inequality and M-matrix theory, some sufficient conditions ensuring the existence, uniqueness and global exponential stability of equilibrium point for impulsive BAM fuzzy cellular neural networks with time delays in the leakage terms are obtained. In particular, a precise estimate of the exponential convergence rate is also provided, which depends on system parameters and impulsive perturbation intention. It is believed that these results are significant and useful for the design and applications of BAM fuzzy cellular neural networks. An example is given to show the effectiveness of the results obtained here.

Keywords: Global exponential stability, bidirectional associative memory, fuzzy cellular neural networks, leakage delays, impulses.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1273
123 Magnetic End Leakage Flux in a Spoke Type Rotor Permanent Magnet Synchronous Generator

Authors: Petter Eklund, Jonathan Sjölund, Sandra Eriksson, Mats Leijon

Abstract:

The spoke type rotor can be used to obtain magnetic flux concentration in permanent magnet machines. This allows the air gap magnetic flux density to exceed the remanent flux density of the permanent magnets but gives problems with leakage fluxes in the magnetic circuit. The end leakage flux of one spoke type permanent magnet rotor design is studied through measurements and finite element simulations. The measurements are performed in the end regions of a 12 kW prototype generator for a vertical axis wind turbine. The simulations are made using three dimensional finite elements to calculate the magnetic field distribution in the end regions of the machine. Also two dimensional finite element simulations are performed and the impact of the two dimensional approximation is studied. It is found that the magnetic leakage flux in the end regions of the machine is equal to about 20% of the flux in the permanent magnets. The overestimation of the performance by the two dimensional approximation is quantified and a curve-fitted expression for its behavior is suggested.

Keywords: End effects, end leakage flux, permanent magnet machine, spoke type rotor.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1024
122 Off-State Leakage Power Reduction by Automatic Monitoring and Control System

Authors: S. Abdollahi Pour, M. Saneei

Abstract:

This paper propose a new circuit design which monitor total leakage current during standby mode and generates the optimal reverse body bias voltage, by using the adaptive body bias (ABB) technique to compensate die-to-die parameter variations. Design details of power monitor are examined using simulation framework in 65nm and 32nm BTPM model CMOS process. Experimental results show the overhead of proposed circuit in terms of its power consumption is about 10 μW for 32nm technology and about 12 μW for 65nm technology at the same power supply voltage as the core power supply. Moreover the results show that our proposed circuit design is not far sensitive to the temperature variations and also process variations. Besides, uses the simple blocks which offer good sensitivity, high speed, the continuously feedback loop.

Keywords: leakage current, leakage power monitor, body biasing, low power

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1694
121 An Investigation into Turbine Blade Tip Leakage Flows at High Speeds

Authors: Z. Saleh, E. J. Avital, T. Korakianitis

Abstract:

The effect of the blade tip geometry of a high pressure gas turbine is studied experimentally and computationally for high speed leakage flows. For this purpose two simplified models are constructed, one models a flat tip of the blade and the second models a cavity tip of the blade. Experimental results are obtained from a transonic wind tunnel to show the static pressure distribution along the tip wall and provide flow visualization. RANS computations were carried to provide further insight into the mean flow behavior and to calculate the discharge coefficient which is a measure of the flow leaking over the tip. It is shown that in both geometries of tip the flow separates over the tip to form a separation bubble. The bubble is higher for the cavity tip while a complete shock wave system of oblique waves ending with a normal wave can be seen for the flat tip. The discharge coefficient for the flat tip shows less dependence on the pressure ratio over the blade tip than the cavity tip. However, the discharge coefficient for the cavity tip is lower than that of the flat tip, showing a better ability to reduce the leakage flow and thus increase the turbine efficiency.

Keywords: Gas turbine, blade tip leakage flow, transonic flow.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2275
120 Improved Neutron Leakage Treatment on Nodal Expansion Method for PWR Reactors

Authors: Antonio Carlos Marques Alvim, Fernando Carvalho da Silva, Aquilino Senra Martinez

Abstract:

For a quick and accurate calculation of spatial neutron distribution in nuclear power reactors 3D nodal codes are usually used aiming at solving the neutron diffusion equation for a given reactor core geometry and material composition. These codes use a second order polynomial to represent the transverse leakage term. In this work, a nodal method based on the well known nodal expansion method (NEM), developed at COPPE, making use of this polynomial expansion was modified to treat the transverse leakage term for the external surfaces of peripheral reflector nodes. The proposed method was implemented into a computational system which, besides solving the diffusion equation, also solves the burnup equations governing the gradual changes in material compositions of the core due to fuel depletion. Results confirm the effectiveness of this modified treatment of peripheral nodes for practical purposes in PWR reactors.

Keywords: Transverse leakage, nodal expansion method, power density, PWR reactors

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1970
119 Application of “Multiple Risk Communicator“ to the Personal Information Leakage Problem

Authors: Mitsuhiro Taniyama, Yuu Hidaka, Masato Arai, Satoshi Kai, Hiromi Igawa, Hiroshi Yajima, Ryoichi Sasaki

Abstract:

Along with the progress of our information society, various risks are becoming increasingly common, causing multiple social problems. For this reason, risk communications for establishing consensus among stakeholders who have different priorities have become important. However, it is not always easy for the decision makers to agree on measures to reduce risks based on opposing concepts, such as security, privacy and cost. Therefore, we previously developed and proposed the “Multiple Risk Communicator" (MRC) with the following functions: (1) modeling the support role of the risk specialist, (2) an optimization engine, and (3) displaying the computed results. In this paper, MRC program version 1.0 is applied to the personal information leakage problem. The application process and validation of the results are discussed.

Keywords: Decision Making, Personal Information Leakage Problem, Risk Communication, Risk Management

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1569
118 A Robust Extrapolation Method for Curtailed Aperture Reconstruction in Acoustic Imaging

Authors: R. Bremananth

Abstract:

Acoustic Imaging based sound localization using microphone array is a challenging task in digital-signal processing. Discrete Fourier transform (DFT) based near-field acoustical holography (NAH) is an important acoustical technique for sound source localization and provide an efficient solution to the ill-posed problem. However, in practice, due to the usage of small curtailed aperture and its consequence of significant spectral leakage, the DFT could not reconstruct the active-region-of-sound (AROS) effectively, especially near the edges of aperture. In this paper, we emphasize the fundamental problems of DFT-based NAH, provide a solution to spectral leakage effect by the extrapolation based on linear predictive coding and 2D Tukey windowing. This approach has been tested to localize the single and multi-point sound sources. We observe that incorporating extrapolation technique increases the spatial resolution, localization accuracy and reduces spectral leakage when small curtail aperture with a lower number of sensors accounts.

Keywords: Acoustic Imaging, Discrete Fourier Transform (DFT), k-space wavenumber, Near-Field Acoustical Holography (NAH), Source Localization, Spectral Leakage.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1646
117 Effect of Highly Pressurized Dispersion Arc Nozzle on Breakup of Oil Leakage in Offshore

Authors: N. M. M. Ammar, S. M. Mustaqim, N. M. Nadzir

Abstract:

The most important problem occurs on oil spills in sea water is to reduce the oil spills size. This study deals with the development of high pressurized nozzle using dispersion method for oil leakage in offshore. 3D numerical simulation results were obtained using ANSYS Fluent 13.0 code and correlate with the experimental data for validation. This paper studies the contribution of the process on flow speed and pressure of the flow from two different geometrical designs of nozzles and to generate a spray pattern suitable for dispersant application. Factor of size distribution of droplets generated by the nozzle is calculated using pressures ranging from 2 to 6 bars. Results obtain from both analyses shows a significant spray pattern and flow distribution as well as distance. Results also show a significant contribution on the effect of oil leakage in terms of the diameter of the oil spills break up.

Keywords: Arc Nozzle, CFD simulation, Droplets, Oil Spills.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1800
116 Effects of Turbulence Penetration on Valve Leakage in Nuclear Reactor Coolant System

Authors: Gupta Rajesh, Paudel Sagar, Sharma Utkarsh, Singh Amit Kumar

Abstract:

Thermal stratification has drawn much attention because of the malfunctions at various nuclear plants in U.S.A that raised significant safety concerns. The concerns due to this phenomenon relate to thermal stresses in branch pipes connected to the reactor coolant system piping. This stress limits the lifetime of the piping system, and even leading to penetrating cracks. To assess origin of valve damage in the pipeline, it is essential to determine the effect of turbulence penetration on valve leakage; since stratified flow is generally generated by turbulent penetration or valve leakage. As a result, we concluded with the help of coupled fluent-structural analysis that the pipe with less turbulence has less chance of failure there by requiring less maintenance.

Keywords: Reactor coolant system, thermal stratification, turbulent penetration, coupled fluent-structural analysis, Von Mises stress.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1425
115 Design and Analysis of an 8T Read Decoupled Dual Port SRAM Cell for Low Power High Speed Applications

Authors: Ankit Mitra

Abstract:

Speed, power consumption and area, are some of the most important factors of concern in modern day memory design. As we move towards Deep Sub-Micron Technologies, the problems of leakage current, noise and cell stability due to physical parameter variation becomes more pronounced. In this paper we have designed an 8T Read Decoupled Dual Port SRAM Cell with Dual Threshold Voltage and characterized it in terms of read and write delay, read and write noise margins, Data Retention Voltage and Leakage Current. Read Decoupling improves the Read Noise Margin and static power dissipation is reduced by using Dual-Vt transistors. The results obtained are compared with existing 6T, 8T, 9T SRAM Cells, which shows the superiority of the proposed design. The Cell is designed and simulated in TSPICE using 90nm CMOS process.

Keywords: CMOS, Dual-Port, Data Retention Voltage, 8T SRAM, Leakage Current, Noise Margin, Loop-cutting, Single-ended.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 3370
114 Internal Leakage Analysis from Pd to Pc Port Direction in ECV Body Used in External Variable Type A/C Compressor

Authors: Md. Iqbal Mahmud, Haeng Muk Cho, Seo Hyun Sang, Wang Wen Hai, Chang Heon Yi, Man Ik Hwang, Dae Hoon Kang

Abstract:

Solenoid operated electromagnetic control valve (ECV) playing an important role for car’s air conditioning control system. ECV is used in external variable displacement swash plate type compressor and controls the entire air conditioning system by means of a pulse width modulation (PWM) input signal supplying from an external source (controller). Complete form of ECV contains number of internal features like valve body, core, valve guide, plunger, guide pin, plunger spring, bellows etc. While designing the ECV; dimensions of different internal items must meet the standard requirements as it is quite challenging. In this research paper, especially the dimensioning of ECV body and its three pressure ports through which the air/refrigerant passes are considered. Here internal leakage test analysis of ECV body is being carried out from its discharge port (Pd) to crankcase port (Pc) when the guide valve is placed inside it. The experiments have made both in ordinary and digital system using different assumptions and thereafter compare the results.

Keywords: Electromagnetic control valve (ECV), Leakage, Pressure port, Valve body, Valve guide.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2812
113 Bipolar PWM and LCL Filter Configuration to Reduce Leakage Currents in Transformerless PV System Connected to Utility Grid

Authors: Shanmuka Naga Raju

Abstract:

This paper  presents PV system without considering transformer connected to electric grid. This is considered more economic compared to present PV system. The problem that occurs when transformer is not considered appears with a leakage current near capacitor connected to ground. Bipolar Pulse Width Modulation (BPWM) technique along with filter L-C-L configuration in the circuit is modeled to shrink the leakage current in the circuit. The DC/AC inverter is modeled using H-bridge Insulated Gate Bipolar Transistor (IGBT) module which is controlled using proposed Bipolar PWM control technique. To extract maximum power, Maximum Power Point Technique (MPPT) controller is used in this model. Voltage and current regulators are used to determine the reference voltage for the inverter from active and reactive current where reactive current is set to zero. The PLL is modeled to synchronize the measurements. The model is designed with MATLAB Simulation blocks and compared with the methods available in literature survey to show its effectiveness.

Keywords: Photovoltaic, PV, pulse width modulation, PWM, perturb and observe, phase locked loop.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 953
112 Stability Analysis of Neural Networks with Leakage, Discrete and Distributed Delays

Authors: Qingqing Wang, Baocheng Chen, Shouming Zhong

Abstract:

This paper deals with the problem of stability of neural networks with leakage, discrete and distributed delays. A new Lyapunov functional which contains some new double integral terms are introduced. By using appropriate model transformation that shifts the considered systems into the neutral-type time-delay system, and by making use of some inequality techniques, delay-dependent criteria are developed to guarantee the stability of the considered system. Finally, numerical examples are provided to illustrate the usefulness of the proposed main results.

Keywords: Neural networks, Stability, Time-varying delays, Linear matrix inequality.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1572
111 Stability Criteria for Uncertainty Markovian Jumping Parameters of BAM Neural Networks with Leakage and Discrete Delays

Authors: Qingqing Wang, Baocheng Chen, Shouming Zhong

Abstract:

In this paper, the problem of stability criteria for Markovian jumping BAM neural networks with leakage and discrete delays has been investigated. Some new sufficient condition are derived based on a novel Lyapunov-Krasovskii functional approach. These new criteria based on delay partitioning idea are proved to be less conservative because free-weighting matrices method and a convex optimization approach are considered. Finally, one numerical example is given to illustrate the the usefulness and feasibility of the proposed main results.

Keywords: Stability, Markovian jumping neural networks, Timevarying delays, Linear matrix inequality.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 5096
110 Seasonal Based Pollution Performance of 11kV and 33kV Silicon Composite Insulators

Authors: N. Sumathi, R. Srinivasa Rao

Abstract:

This paper presents the experimental results of 11 kV and 33 kV silicon composite insulators under artificial salt and urea polluted conditions. The tests were carried out under different seasons like summer, winter, and monsoon. The artificial pollution is prepared by properly dissolving the salt and urea in the water. The prepared salt and urea pollutions are sprayed on the insulators and dried up for sufficiently large time. The process is continued until a uniform layer is formed on the surface of insulator. For each insulator rating, four samples were tested. The maximum leakage current and breakdown voltage were measured. From experimental data, performance of test specimen is evaluated by comparing breakdown voltage and leakage current during different seasons when exposed to salt and urea polluted conditions. From these results the performance of the insulators can be predicted when they are installed in industrial, agricultural, and coastal areas. The experimental tests were carried out in the High Voltage laboratory using two stage cascade transformer having the rating of 1000 kVA, 500 kV.

Keywords: Silicon composite insulators, Urea pollution, Leakage current, Breakdown voltage, salt pollution, artificial pollution.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1833
109 The Concentration Analysis of CO2 Using ALOHA Code for Kuosheng Nuclear Power Plant

Authors: W. S. Hsu, Y. Chiang, H. C. Chen, J. R. Wang, S. W. Chen, J. H. Yang, C. Shih

Abstract:

Not only radiation materials, but also the normal chemical material stored in the power plant can cause a risk to the residents. In this research, the ALOHA code was used to perform the concentration analysis under the CO2 storage burst or leakage conditions for Kuosheng nuclear power plant (NPP). The Final Safety Analysis Report (FSAR) and data were used in this study. Additionally, the analysis results of ALOHA code were compared with the R.G. 1.78 failure criteria in order to confirm the control room habitability. The comparison results show that the ALOHA result for burst case was 0.923 g/m3 which was below the criteria. However, the ALOHA results for leakage case was 11.3 g/m3.

Keywords: BWR, ALOHA, habitability, Kuosheng.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 904
108 An Experimental Study of Tip Vortex Cavitation Inception in an Axial Flow Pump

Authors: Mohammad Taghi Shervani Tabar, Zahra Poursharifi

Abstract:

The interaction of the blade tip with the casing boundary layer and the leakage flow may lead to a kind of cavitation namely tip vortex cavitation. In this study, the onset of tip vortex cavitation was experimentally investigated in an axial flow pump. For a constant speed and a fixed angle of attack and by changing the flow rate, the pump head, input power, output power and efficiency were calculated and the pump characteristic curves were obtained. The cavitation phenomenon was observed with a camera and a stroboscope. Finally, the critical flow region, which tip vortex cavitation might have occurred, was identified. The results show that just by adjusting the flow rate, out of the specified region, the possibility of occurring tip vortex cavitation, decreases to a great extent.

Keywords: Axial flow pump, Gap cavitation, Leakage vortex, Tip vortex cavitation.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2632
107 Practical Simulation Model of Floating-Gate MOS Transistor in Sub 100nm Technologies

Authors: Zina Saheb, Ezz El-Masry

Abstract:

As the Silicon oxide scaled down in MOSFET technology to few nanometers, gate Direct Tunneling (DT) in Floating gate (FGMOSFET) devices has become a major concern for analog designers. FGMOSFET has been used in many low-voltage and low-power applications, however, there is no accurate model that account for DT gate leakage in nano-scale. This paper studied and analyzed different simulation models for FGMOSFET using TSMC 90-nm technology. The simulation results for FGMOSFET cascade current mirror shows the impact of DT on circuit performance in terms of current and voltage without the need for fabrication. This works shows the significance of using an accurate model for FGMOSFET in nan-scale technologies.

Keywords: CMOS transistor, direct-tunneling current, floatinggate, gate-leakage current, simulation model.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2936