Search results for: clock feed through
Commenced in January 2007
Frequency: Monthly
Edition: International
Paper Count: 524

Search results for: clock feed through

524 A Clock Skew Minimization Technique Considering Temperature Gradient

Authors: Se-Jin Ko, Deok-Min Kim, Seok-Yoon Kim

Abstract:

The trend of growing density on chips has increases not only the temperature in chips but also the gradient of the temperature depending on locations. In this paper, we propose the balanced skew tree generation technique for minimizing the clock skew that is affected by the temperature gradients on chips. We calculate the interconnect delay using Elmore delay equation, and find out the optimal balanced clock tree by modifying the clock trees generated through the Deferred Merge Embedding(DME) algorithm. The experimental results show that the distance variance of clock insertion points with and without considering the temperature gradient can be lowered below 54% and we confirm that the skew is remarkably decreased after applying the proposed technique.

Keywords: clock, clock-skew, temperature, thermal.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1682
523 Dynamic Power Reduction in Sequential Circuits Using Look Ahead Clock Gating Technique

Authors: R. Manjith, C. Muthukumari

Abstract:

In this paper, a novel Linear Feedback Shift Register (LFSR) with Look Ahead Clock Gating (LACG) technique is presented to reduce the power consumption in modern processors and System-on-Chip. Clock gating is a predominant technique used to reduce unwanted switching of clock signals. Several clock gating techniques to reduce the dynamic power have been developed, of which LACG is predominant. LACG computes the clock enabling signals of each flip-flop (FF) one cycle ahead of time, based on the present cycle data of the flip-flops on which it depends. It overcomes the timing problems in the existing clock gating methods like datadriven clock gating and Auto-Gated flip-flops (AGFF) by allotting a full clock cycle for the determination of the clock enabling signals. Further to reduce the power consumption in LACG technique, FFs can be grouped so that they share a common clock enabling signal. Simulation results show that the novel grouped LFSR with LACG achieves 15.03% power savings than conventional LFSR with LACG and 44.87% than data-driven clock gating.

Keywords: AGFF, data-driven, LACG, LFSR.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1695
522 Modeling of a Second Order Non-Ideal Sigma-Delta Modulator

Authors: Abdelghani Dendouga, Nour-Eddine Bouguechal, Souhil Kouda, Samir Barra

Abstract:

A behavioral model of a second order switchedcapacitor Sigma-Delta modulator is presented. The purpose of this work is the presentation of a behavioral model of a second order switched capacitor ΣΔ modulator considering (Error due to Clock Jitter, Thermal noise Amplifier Noise, Amplifier Slew-Rate, Non linearity of amplifiers, Gain error, Charge Injection, Clock Feedthrough, and Nonlinear on-resistance). A comparison between the use of MOS switches and the use transmission gate switches use is analyzed.

Keywords: Charge injection, clock feed through, Sigma Deltamodulators, Sigma Delta non-idealities, switched capacitor.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2960
521 A 3.125Gb/s Clock and Data Recovery Circuit Using 1/4-Rate Technique

Authors: Il-Do Jeong, Hang-Geun Jeong

Abstract:

This paper describes the design and fabrication of a clock and data recovery circuit (CDR). We propose a new clock and data recovery which is based on a 1/4-rate frequency detector (QRFD). The proposed frequency detector helps reduce the VCO frequency and is thus advantageous for high speed application. The proposed frequency detector can achieve low jitter operation and extend the pull-in range without using the reference clock. The proposed CDR was implemented using a 1/4-rate bang-bang type phase detector (PD) and a ring voltage controlled oscillator (VCO). The CDR circuit has been fabricated in a standard 0.18 CMOS technology. It occupies an active area of 1 x 1 and consumes 90 mW from a single 1.8V supply.

Keywords: Clock and data recovery, 1/4-rate frequency detector, 1/4-rate phase detector.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2870
520 FPGA Implementation of Adaptive Clock Recovery for TDMoIP Systems

Authors: Semih Demir, Anil Celebi

Abstract:

Circuit switched networks widely used until the end of the 20th century have been transformed into packages switched networks. Time Division Multiplexing over Internet Protocol (TDMoIP) is a system that enables Time Division Multiplexing (TDM) traffic to be carried over packet switched networks (PSN). In TDMoIP systems, devices that send TDM data to the PSN and receive it from the network must operate with the same clock frequency. In this study, it was aimed to implement clock synchronization process in Field Programmable Gate Array (FPGA) chips using time information attached to the packages received from PSN. The designed hardware is verified using the datasets obtained for the different carrier types and comparing the results with the software model. Field tests are also performed by using the real time TDMoIP system.

Keywords: Clock recovery on TDMoIP, FPGA, MATLAB reference model, clock synchronization.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1382
519 Circadian Clock and Subjective Time Perception: A Simple Open Source Application for the Analysis of Induced Time Perception in Humans

Authors: Agata M. Kołodziejczyk, Mateusz Harasymczuk, Pierre-Yves Girardin, Lucie Davidová

Abstract:

Subjective time perception implies connection to cognitive functions, attention, memory and awareness, but a little is known about connections with homeostatic states of the body coordinated by circadian clock. In this paper, we present results from experimental study of subjective time perception in volunteers performing physical activity on treadmill in various phases of their circadian rhythms. Subjects were exposed to several time illusions simulated by programmed timing systems. This study brings better understanding for further improvement of of work quality in isolated areas. 

Keywords: Biological clock, light, time illusions, treadmill.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1473
518 Minimizing Fish-feed Loss due to Sea Currents: An Economic Methodology

Authors: V. Vassiliou, M. Charalambides, M. Menicou

Abstract:

Fish-feed is a major cost component of operating expenses for any aquaculture farm. Due to soaring prices of fish-feed ingredients, the need for better feeding schedule management has become imperative. On such factor that influences the utilization rate of fish-feed are sea currents. Up to now, practical monitoring of fishfeed loss due to sea currents is not exercised. This paper gives a description of an economic methodology that aims at quantifying the amount of fish-feed lost due to sea currents and draws on data from a Mediterranean aquaculture farm to formulate the associated model.

Keywords: Aquaculture, economic model, fish-feed loss, sea currents.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1766
517 Effect of Magnetic Field on the Biological Clock through the Radical Pair Mechanism

Authors: Chathurika D. Abeyrathne, Malka N. Halgamuge, Peter M. Farrell

Abstract:

There is an ongoing controversy in the literature related to the biological effects of weak, low frequency electromagnetic fields. The physical arguments and interpretation of the experimental evidence are inconsistent, where some physical arguments and experimental demonstrations tend to reject the likelihood of any effect of the fields at extremely low level. The problem arises of explaining, how the low-energy influences of weak magnetic fields can compete with the thermal and electrical noise of cells at normal temperature using the theoretical studies. The magnetoreception in animals involve radical pair mechanism. The same mechanism has been shown to be involved in the circadian rhythm synchronization in mammals. These reactions can be influenced by the weak magnetic fields. Hence, it is postulated the biological clock can be affected by weak magnetic fields and these disruptions to the rhythm can cause adverse biological effects. In this paper, likelihood of altering the biological clock via the radical pair mechanism is analyzed to simplify these studies of controversy.

Keywords: Bio-effect, biological clock, magnetoreception, radical pair mechanism, weak magnetic field.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2278
516 Ethylene Epoxidation in a Low-Temperature Parallel Plate Dielectric Barrier Discharge System: Effects of Ethylene Feed Position and O2/C2H4 Feed Molar Ratio

Authors: Bunphot Paosombat, Thitiporn Suttikul, Sumaeth Chavadej

Abstract:

The effects of ethylene (C2H4) feed position and O2/C2H4 feed molar ratio on ethylene epoxidation in a parallel dielectric barrier discharge (DBD) were studied. The results showed that the ethylene feed position fraction of 0.5 and the feed molar ratio of O2/C2H4 of 0.2:1 gave the highest EO selectivity of 34.3% and the highest EO yield of 5.28% with low power consumptions of 2.11×10-16 Ws/molecule of ethylene converted and 6.34×10-16 Ws/molecule of EO produced when the DBD system was operated under the best conditions: an applied voltage of 19 kV, an input frequency of 500 Hz and a total feed flow rate of 50 cm3/min. The separate ethylene feed system provided much higher epoxidation activity as compared to the mixed feed system which gave EO selectivity of 15.5%, EO yield of 2.1% and the power consumption of EO produced of 7.7×10-16 Ws/molecule.

Keywords: Dielectric Barrier Discharge, C2H4 Feed Position, Epoxidation, Ethylene Oxide

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1652
515 Jitter Transfer in High Speed Data Links

Authors: Tsunwai Gary Yip

Abstract:

Phase locked loops for data links operating at 10 Gb/s or faster are low phase noise devices designed to operate with a low jitter reference clock. Characterization of their jitter transfer function is difficult because the intrinsic noise of the device is comparable to the random noise level in the reference clock signal. A linear model is proposed to account for the intrinsic noise of a PLL. The intrinsic noise data of a PLL for 10 Gb/s links is presented. The jitter transfer function of a PLL in a test chip for 12.8 Gb/s data links was determined in experiments using the 400 MHz reference clock as the source of simultaneous excitations over a wide range of frequency. The result shows that the PLL jitter transfer function can be approximated by a second order linear model.

Keywords: Intrinsic phase noise, jitter in data link, PLL jitter transfer function, high speed clocking in electronic circuit

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1891
514 Phase Jitter Transfer in High Speed Data Links

Authors: Tsunwai Gary Yip

Abstract:

Phase locked loops in 10 Gb/s and faster data links are low phase noise devices. Characterization of their phase jitter transfer functions is difficult because the intrinsic noise of the PLLs is comparable to the phase noise of the reference clock signal. The problem is solved by using a linear model to account for the intrinsic noise. This study also introduces a novel technique for measuring the transfer function. It involves the use of the reference clock as a source of wideband excitation, in contrast to the commonly used sinusoidal excitations at discrete frequencies. The data reported here include the intrinsic noise of a PLL for 10 Gb/s links and the jitter transfer function of a PLL for 12.8 Gb/s links. The measured transfer function suggests that the PLL responded like a second order linear system to a low noise reference clock.

Keywords: Intrinsic phase noise, jitter in data link, PLL jitter transfer function, high speed clocking in electronic circuit

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1552
513 A Research about Determination of the Quality of Feed Oils Used as Mixed Feed Raw Material from Some Feed Factories in Konya-Turkey

Authors: Gülşah Kanbur, Veysel Ayhan

Abstract:

Feed oil samples which are used as mixed feed raw material were taken from six different feed factories in March, May and July. All factories make production in Konya, Turkey and all of the samples were crude soybean oils. Physical and chemical analyses, free radical scavenger effect, and total phenol content were determined on these oil samples. Moisture (M) content was found between 0.10-22.23%, saponification number (SF) was determined 143.13 to 167.93 KOH/kg, free fatty acidity (FFA) was varied 0.73 to 35.00%, peroxide value (PV) was found between 1.53 and 28.43 meq/kg, unsaponifiable matter (USM) was determined from 0.40 to 17.10%, viscosity (V) was found between 34.30 and 625.67 mPas, sediment (S) amount was determined between 0.60-18.16%, free radical scavenger effect (FRSE) was varied 20.7 to 43.04% inhibition of the extract and total phenol (TPC) content was found between 1.20 and 2.69mg/L extract. Different results were found between months and factories.

Keywords: Crude soybean oil, Feed oils, mixed feed.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2092
512 Opportunities for Precision Feed in Apiculture for Managing the Efficacy of Feed and Medicine

Authors: John Michael Russo

Abstract:

Honeybees are important to our food system and continue to suffer from high rates of colony loss. Precision feed has brought many benefits to livestock cultivation and these should transfer to apiculture. However, apiculture has unique challenges. The objective of this research is to understand how principles of precision agriculture, applied to apiculture and feed specifically, might effectively improve state-of-the-art cultivation. The methodology surveys apicultural practice to build a model for assessment. First, a review of apicultural motivators is made. Feed method is then evaluated. Finally, precision feed methods are examined as accelerants with potential to advance the effectiveness of feed practice. Six important motivators emerge: colony loss, disease, climate change, site variance, operational costs, and competition. Feed practice itself is used to compensate for environmental variables. The research finds that the current state-of-the-art in apiculture feed focuses on critical challenges in the management of feed schedules which satisfy requirements of the bees, preserve potency, optimize environmental variables, and manage costs. Many of the challenges are most acute when feed is used to dispense medication. Technology such as RNA treatments have even more rigorous demands. Precision feed solutions focus on strategies which accommodate specific needs of individual livestock. A major component is data; they integrate precise data with methods that respond to individual needs. There is enormous opportunity for precision feed to improve apiculture through the integration of precision data with policies to translate data into optimized action in the apiary, particularly through automation.

Keywords: Apiculture, precision apiculture, RNA varroa treatment, honeybee feed applications.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 140
511 Feed-Forward Control in Resonant DC Link Inverter

Authors: Apinan Aurasopon, Worawat Sa-ngiavibool

Abstract:

This paper proposes a feed-forward control in resonant dc link inverter. The feed-forward control configuration is based on synchronous sigma-delta modulation. The simulation results showing the proposed technique can reject non-ideal dc bus improving the total harmonic distortion.

Keywords: Feed-forward control, Resonant dc link inverter, Synchronous sigma-delta modulation.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1776
510 Assessment of the Effect of Feed Plate Location on Interactions for a Binary Distillation Column

Authors: A. Khelassi, R. Bendib

Abstract:

The paper considers the effect of feed plate location on the interactions in a seven plate binary distillation column. The mathematical model of the distillation column is deduced based on the equations of mass and energy balances for each stage, detailed model for both reboiler and condenser, and heat transfer equations. The Dynamic Relative Magnitude Criterion, DRMC is used to assess the interactions in different feed plate locations for a seven plate (Benzene-Toluene) binary distillation column ( the feed plate is originally at stage 4). The results show that whenever we go far from the optimum feed plate position, the level of interaction augments.

Keywords: Distillation column, assessment of interactions, feedplate location, DRMC.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2348
509 A Multi Cordic Architecture on FPGA Platform

Authors: Ahmed Madian, Muaz Aljarhi

Abstract:

Coordinate Rotation Digital Computer (CORDIC) is a unique digital computing unit intended for the computation of mathematical operations and functions. This paper presents A multi CORDIC processor that integrates different CORDIC architectures on a single FPGA chip and allows the user to select the CORDIC architecture to proceed with based on what he wants to calculate and his needs. Synthesis show that radix 2 CORDIC has the lowest clock delay, radix 8 CORDIC has the highest LUT usage and lowest register usage while Hybrid Radix 4 CORDIC had the highest clock delay.

Keywords: Multi, CORDIC, FPGA, Processor.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2649
508 Waste Oils pre-Esterification for Biodiesel Synthesis: Effect of Feed Moisture Contents

Authors: Kalala Jalama

Abstract:

A process flowsheet was developed in ChemCad 6.4 to study the effect of feed moisture contents on the pre-esterification of waste oils. Waste oils were modelled as a mixture of triolein (90%), oleic acid (5%) and water (5%). The process mainly consisted of feed drying, pre-esterification reaction and methanol recovery. The results showed that the process energy requirements would be minimized when higher degrees of feed drying and higher preesterification reaction temperatures are used.

Keywords: Waste oils, moisture content, pre-esterification.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1706
507 A Novel FIFO Design for Data Transfer in Mixed Timing Systems

Authors: Mansi Jhamb, R. K. Sharma, A. K. Gupta

Abstract:

In the current scenario, with the increasing integration densities, most system-on-chip designs are partitioned into multiple clock domains. In this paper, an asynchronous FIFO (First-in First-out pipeline) design is employed as a data transfer interface between two independent clock domains. Since the clocks on the either sides of the FIFO run at a different speed, the task to ensure the correct data transmission through this FIFO is manually performed. Firstly an existing asynchronous FIFO design is discussed and simulated. Gate-level simulation results depicted the flaw in existing design. In order to solve this problem, a novel modified asynchronous FIFO design is proposed. The results obtained from proposed design are in perfect accordance with theoretical expectations. The proposed asynchronous FIFO design outperforms the existing design in terms of accuracy and speed. In order to evaluate the performance of the FIFO designs presented in this paper, the circuits were implemented in 0.24µ TSMC CMOS technology and simulated at 2.5V using HSpice (© Avant! Corporation). The layout design of the proposed FIFO is also presented.

Keywords: Asynchronous, Clock, CMOS, C-element, FIFO, Globally Asynchronous Locally Synchronous (GALS), HSpice.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 3033
506 A Single-Phase Register File with Complementary Pass-Transistor Adiabatic Logic

Authors: Jianping Hu, Xiaolei Sheng

Abstract:

This paper introduces an adiabatic register file based on two-phase CPAL (Complementary Pass-Transistor Adiabatic Logic circuits) with power-gating scheme, which can operate on a single-phase power clock. A 32×32 single-phase adiabatic register file with power-gating scheme has been implemented with TSMC 0.18μm CMOS technology. All the circuits except for the storage cells employ two-phase CPAL circuits, and the storage cell is based on the conventional memory one. The two-phase non-overlap power-clock generator with power-gating scheme is used to supply the proposed adiabatic register file. Full-custom layouts are drawn. The energy and functional simulations have been performed using the net-list extracted from their layouts. Compared with the traditional static CMOS register file, HSPICE simulations show that the proposed adiabatic register file can work very well, and it attains about 73% energy savings at 100 MHz.

Keywords: Low power, Register file, Complementarypass-transistor logic, Adiabatic logic, Single-phase power clock.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1911
505 Feed-Forward Control in Half-Bridge Resonant DC Link Inverter

Authors: Apinan Aurasopon, Worawat Sa-ngiavibool

Abstract:

This paper proposes a feed-forward control in a halfbridge resonant dc link inverter. The configuration of feed-forward control is based on synchronous sigma-delta modulation and the halfbridge resonant dc link inverter consists of two inductors, one capacitor and two power switches. The simulation results show the proposed technique can reject non-ideal dc bus improving the total harmonic distortion.

Keywords: Feed-forward control, Resonant dc link inverter, Synchronous sigma-delta modulation.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2444
504 Two Kinds of Self-Oscillating Circuits Mechanically Demonstrated

Authors: Shiang-Hwua Yu, Po-Hsun Wu

Abstract:

This study introduces two types of self-oscillating circuits that are frequently found in power electronics applications. Special effort is made to relate the circuits to the analogous mechanical systems of some important scientific inventions: Galileo’s pendulum clock and Coulomb’s friction model. A little touch of related history and philosophy of science will hopefully encourage curiosity, advance the understanding of self-oscillating systems and satisfy the aspiration of some students for scientific literacy. Finally, the two self-oscillating circuits are applied to design a simple class-D audio amplifier.

Keywords: Self-oscillation, sigma-delta modulator, pendulum clock, Coulomb friction, class-D amplifier.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2403
503 Chemical and Biological Properties of Local Cowpea Seed Protein Grown in Gizan Region

Authors: Abdelatief S. H. El-Jasser

Abstract:

The aim of the present study was to investigate the chemical and biological properties of local cowpea seed protein cultivated in Gizan region. The results showed that the cowpea and its products contain high level of protein (22.9-77.6%), high carbohydrates (9.4-64.3%) and low fats (0.1-0.3%). The trypsin and chymotrypsin activities were found to be 32.2 and 15.2 units, respectively. These activities were not affected in both defatted and protein concentrate whereas they were significantly reduced in isolated protein and cooked samples. The phytate content of cooked and concentrated cowpea samples varied from 0.25% -0.32%, respectively. Tannin content was found to be 0.4% and 0.23% for cooked and raw samples, respectively. The in vitro protein digestibility was very high in cowpea seeds (75.04-78.76%). The biological evaluation using rats showed that the group fed with animal feed containing casein gain more weight than those fed with that containing cowpea. However, the group fed with cooked cowpea gain more weight than those fed with uncooked cowpea. On the other hand, in vivo digestion showed high value (98.33%) among the group consumed casein compared to other groups those consumed cowpea contains feed. This could be attributed to low antinutritional factors in casein contains feed compared to those of cowpea contains feed because cooking significantly increased the digestion rate (80.8% to 83.5%) of cowpea contains feed. Furthermore, the biological evaluation was high (91.67%) of casein containing feed compared to that of cowpea containing feed (80.83%-87.5%). The net protein utilization (NPU) was higher (89.67%) in the group fed with casein containing feed than that of cowpea containing feed (56.33%-69.67%).

Keywords: Biological properties, Cowpea seed protein, Antinutritional factors, In vitro digestibility

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2980
502 The Effects of Production, Transportation and Storage Conditions on Mold Growth in Compound Feeds

Authors: N. Cetinkaya

Abstract:

The objective of the present study is to determine the critical control points during the production, transportation and storage conditions of compound feeds to be used in the Hazard Analysis Critical Control Point (HACCP) feed safety management system. A total of 40 feed samples were taken after 20 and 40 days of storage periods from the 10 dairy and 10 beef cattle farms following the transportation of the compound feeds from the factory. In addition, before transporting the feeds from factory immediately after production of dairy and beef cattle compound feeds, 10 from each total 20 samples were taken as 0 day. In all feed samples, chemical composition and total aflatoxin levels were determined. The aflatoxin levels in all feed samples with the exception of 2 dairy cattle feeds were below the maximum acceptable level. With the increase in storage period in dairy feeds, the aflatoxin levels were increased to 4.96 ppb only in a BS8 dairy farm. This value is below the maximum permissible level (10 ppb) in beef cattle feed. The aflatoxin levels of dairy feed samples taken after production varied between 0.44 and 2.01 ppb. Aflatoxin levels were found to be between 0.89 and 3.01 ppb in dairy cattle feeds taken on the 20th day of storage at 10 dairy cattle farm. On the 40th day, feed aflatoxin levels in the same dairy cattle farm were found between 1.12 and 7.83 ppb. The aflatoxin levels were increased to 7.83 and 6.31 ppb in 2 dairy farms, after a storage period of 40 days. These obtained aflatoxin values are above the maximum permissible level in dairy cattle feeds. The 40 days storage in pellet form in the HACCP feed safety management system can be considered as a critical control point.

Keywords: Aflatoxin, beef cattle feed, compound feed, dairy cattle feed, HACCP.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 764
501 High Performance Liquid Chromatographic Method for Determination of Colistin Sulfate and its Application in Medicated Premixand Animal Feed

Authors: S.Choosakoonkriang, S. Supaluknari, P. Puangkaew

Abstract:

The aim of the present study was to develop and validate an inexpensive and simple high performance liquid chromatographic (HPLC) method for the determination of colistin sulfate. Separation of colistin sulfate was achieved on a ZORBAX Eclipse XDB-C18 column using UV detection at λ=215 nm. The mobile phase was 30 mM sulfate buffer (pH 2.5):acetonitrile(76:24). An excellent linearity (r2=0.998) was found in the concentration range of 25 - 400 μg/mL. Intra- day and inter-day precisions of method (%RSD, n=3) were less than 7.9%.The developed and validated method was applied to determination of the content of colistin sulfate in medicated premix and animal feed sample.The recovery of colistin from animal feed was satisfactorily ranged from 90.92 to 93.77%. The results demonstrated that the HPLC method developed in this work is appropriate for direct determination of colistin sulfate in commercial medicated premixes and animal feed.

Keywords: Colistin sulfate, HPLC, medicated premix, animal feed

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 8079
500 Economic Returns of Using Brewery`s Spent Grain in Animal Feed

Authors: U. Ben-Hamed, H. Seddighi, K. Thomas

Abstract:

UK breweries generate extensive by products in the form of spent grain, slurry and yeast. Much of the spent grain is produced by large breweries and processed in bulk for animal feed. Spent brewery grains contain up to 20% protein dry weight and up to 60% fiber and are useful additions to animal feed. Bulk processing is economic and allows spent grain to be sold so providing an income to the brewery. A proportion of spent grain, however, is produced by small local breweries and is more variably distributed to farms or other users using intermittent collection methods. Such use is much less economic and may incur losses if not carefully assessed for transport costs. This study reports an economic returns of using wet brewery spent grain (WBSG) in animal feed using the Co-product Optimizer Decision Evaluator model (Cattle CODE) developed by the University of Nebraska to predict performance and economic returns when byproducts are fed to finishing cattle. The results indicated that distance from brewery to farm had a significantly greater effect on the economics of use of small brewery spent grain and that alternative uses than cattle feed may be important to develop.

Keywords: Animal Feed, Brewery Spent Grains, cattle CODE, Economic returns.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 7756
499 Grooved Linear Microstrip Patch Antenna Array

Authors: Ayesha Aslam, F A Bhatti

Abstract:

A simple impedance matching technique for inset feed grooved microstrip patch antenna based on the concept of coplanar waveguide feed line has been developed and investigated for a printed antenna at X-Band frequency of 10GHz. The proposed technique has been used in the design of Linear Grooved Microstrip patch antenna array. The characteristics of the antenna are determined in terms of Return loss, VSWR, gain, radiation pattern etc. The measured and simulated results presented are found to be in good agreement.

Keywords: Gain, Microstrip patch, return loss, VSWR, Radiation pattern, CPW Feed, Inset feed.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2760
498 Investigating Feed Mix Problem Approaches: An Overview and Potential Solution

Authors: Rosshairy Abd Rahman, Chooi-Leng Ang, Razamin Ramli

Abstract:

Feed is one of the factors which play an important role in determining a successful development of an aquaculture industry. It is always critical to produce the best aquaculture diet at a minimum cost in order to trim down the operational cost and gain more profit. However, the feed mix problem becomes increasingly difficult since many issues need to be considered simultaneously. Thus, the purpose of this paper is to review the current techniques used by nutritionist and researchers to tackle the issues. Additionally, this paper introduce an enhance algorithm which is deemed suitable to deal with all the issues arise. The proposed technique refers to Hybrid Genetic Algorithm which is expected to obtain the minimum cost diet for farmed animal, while satisfying nutritional requirements. Hybrid GA technique with artificial bee algorithm is expected to reduce the penalty function and provide a better solution for the feed mix problem.

Keywords: Artificial bee algorithm, feed mix problem, hybrid genetic algorithm.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 3161
497 Margin-Based Feed-Forward Neural Network Classifiers

Authors: Han Xiao, Xiaoyan Zhu

Abstract:

Margin-Based Principle has been proposed for a long time, it has been proved that this principle could reduce the structural risk and improve the performance in both theoretical and practical aspects. Meanwhile, feed-forward neural network is a traditional classifier, which is very hot at present with a deeper architecture. However, the training algorithm of feed-forward neural network is developed and generated from Widrow-Hoff Principle that means to minimize the squared error. In this paper, we propose a new training algorithm for feed-forward neural networks based on Margin-Based Principle, which could effectively promote the accuracy and generalization ability of neural network classifiers with less labelled samples and flexible network. We have conducted experiments on four UCI open datasets and achieved good results as expected. In conclusion, our model could handle more sparse labelled and more high-dimension dataset in a high accuracy while modification from old ANN method to our method is easy and almost free of work.

Keywords: Max-Margin Principle, Feed-Forward Neural Network, Classifier.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1692
496 Investigating Polynomial Interpolation Functions for Zooming Low Resolution Digital Medical Images

Authors: Maninder Pal

Abstract:

Medical digital images usually have low resolution because of nature of their acquisition. Therefore, this paper focuses on zooming these images to obtain better level of information, required for the purpose of medical diagnosis. For this purpose, a strategy for selecting pixels in zooming operation is proposed. It is based on the principle of analog clock and utilizes a combination of point and neighborhood image processing. In this approach, the hour hand of clock covers the portion of image to be processed. For alignment, the center of clock points at middle pixel of the selected portion of image. The minute hand is longer in length, and is used to gain information about pixels of the surrounding area. This area is called neighborhood pixels region. This information is used to zoom the selected portion of the image. The proposed algorithm is implemented and its performance is evaluated for many medical images obtained from various sources such as X-ray, Computerized Tomography (CT) scan and Magnetic Resonance Imaging (MRI). However, for illustration and simplicity, the results obtained from a CT scanned image of head is presented. The performance of algorithm is evaluated in comparison to various traditional algorithms in terms of Peak signal-to-noise ratio (PSNR), maximum error, SSIM index, mutual information and processing time. From the results, the proposed algorithm is found to give better performance than traditional algorithms.

Keywords: Zooming, interpolation, medical images, resolution.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1521
495 A Comparative Study of the Effectiveness of Trained Inspectors in Different Workloads between Feed Forward and Feedback Training

Authors: Sittichai K., Anucha W., Phonsak L.

Abstract:

Objective of this study was to study and compare the effectiveness of inspectors who had different workloads for feed forward and feedback training. The visual search task was simulated to search for specified alphabets called defects. These defects were included of four alphabets in Thai and English such as s ภ, ถ, X, and V with different background. These defects were combined in the specified alphabets and were given the different three backgrounds i.e., Thai, English, and mixed English and Thai alphabets. Sixty students were chosen as a sample in this study and test for final selection subject. Finally, five subjects were taken into testing process. They were asked to search for defects after they were provided basic information. Experiment design was used factorial design and subjects were trained for feed forward and the feedback training. The results show that both trainings were affected on mean search time. It was also found that the feedback training can increase the effectiveness of visual inspectors rather than the feed forward training significantly different at the level of .05

Keywords: visual search, feed forward, feedback training.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1116