Search results for: High speed rotation operation
Commenced in January 2007
Frequency: Monthly
Edition: International
Paper Count: 7742

Search results for: High speed rotation operation

7682 Robust Sensorless Speed Control of Induction Motor with DTFC and Fuzzy Speed Regulator

Authors: Jagadish H. Pujar, S. F. Kodad

Abstract:

Recent developments in Soft computing techniques, power electronic switches and low-cost computational hardware have made it possible to design and implement sophisticated control strategies for sensorless speed control of AC motor drives. Such an attempt has been made in this work, for Sensorless Speed Control of Induction Motor (IM) by means of Direct Torque Fuzzy Control (DTFC), PI-type fuzzy speed regulator and MRAS speed estimator strategy, which is absolutely nonlinear in its nature. Direct torque control is known to produce quick and robust response in AC drive system. However, during steady state, torque, flux and current ripple occurs. So, the performance of conventional DTC with PI speed regulator can be improved by implementing fuzzy logic techniques. Certain important issues in design including the space vector modulated (SVM) 3-Ф voltage source inverter, DTFC design, generation of reference torque using PI-type fuzzy speed regulator and sensor less speed estimator have been resolved. The proposed scheme is validated through extensive numerical simulations on MATLAB. The simulated results indicate the sensor less speed control of IM with DTFC and PI-type fuzzy speed regulator provides satisfactory high dynamic and static performance compare to conventional DTC with PI speed regulator.

Keywords: Sensor-less Speed Estimator, Fuzzy Logic Control(FLC), SVM, DTC, DTFC, IM, fuzzy speed regulator.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2455
7681 Signal and Harmonic Analysis of a Compressor Blade for Identification of the Nonlinear Frequency Vibration

Authors: Farhad Asadi, Gholamhasan Payganeh

Abstract:

High-speed turbomachine can experience significant centrifugal and gas bending loads. As a result, the compressor blades must be able to resist high-frequency oscillations due to surge or stall condition in flow field dynamics. In this paper, vibration characteristics of the 6th stage blade compressor have been examined in detail with, using 3-D finite element (FE) methods. The primary aim of this article is to gain an understanding of nonlinear vibration induced in the blade against different loading conditions. The results indicate the nonlinear behavior of the blade as a result of the amplitude of resonances or material properties. Since one of the leading causes of turbine blade failure is high cycle fatigue, simulations were started by specifying the stress distribution in the blade due to the centrifugal rotation. Next, resonant frequencies and critical speeds of the blade were defined by modal analysis. Finally, the harmonic analysis was simulated on the blades.

Keywords: Nonlinear vibration, modal analysis, resonance, frequency response, compressor blade.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 535
7680 Desktop High-Speed Aerodynamics by Shallow Water Analogy in a Tin Box for Engineering Students

Authors: Etsuo Morishita

Abstract:

In this paper, we show shallow water in a tin box as an analogous simulation tool for high-speed aerodynamics education and research. It is customary that we use a water tank to create shallow water flow. While a flow in a water tank is not necessarily uniform and is sometimes wavy, we can visualize a clear supercritical flow even when we move a body manually in stationary water in a simple shallow tin box. We can visualize a blunt shock wave around a moving circular cylinder together with a shock pattern around a diamond airfoil. Another interesting analogous experiment is a hydrodynamic shock tube with water and tea. We observe the contact surface clearly due to color difference of the two liquids those are invisible in the real gas dynamics experiment. We first revisit the similarities between high-speed aerodynamics and shallow water hydraulics. Several educational and research experiments are then introduced for engineering students. Shallow water experiments in a tin box simulate properly the high-speed flows.

Keywords: Aerodynamics compressible flow, gas dynamics, hydraulics, shock wave.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 888
7679 Speed Characteristics of Mixed Traffic Flow on Urban Arterials

Authors: Ashish Dhamaniya, Satish Chandra

Abstract:

Speed and traffic volume data are collected on different sections of four lane and six lane roads in three metropolitan cities in India. Speed data are analyzed to fit the statistical distribution to individual vehicle speed data and all vehicles speed data. It is noted that speed data of individual vehicle generally follows a normal distribution but speed data of all vehicle combined at a section of urban road may or may not follow the normal distribution depending upon the composition of traffic stream. A new term Speed Spread Ratio (SSR) is introduced in this paper which is the ratio of difference in 85th and 50th percentile speed to the difference in 50th and 15th percentile speed. If SSR is unity then speed data are truly normally distributed. It is noted that on six lane urban roads, speed data follow a normal distribution only when SSR is in the range of 0.86 – 1.11. The range of SSR is validated on four lane roads also.

Keywords: Normal distribution, percentile speed, speed spread ratio, traffic volume.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 4199
7678 Conceptional Design of a Hyperloop Capsule with Linear Induction Propulsion System

Authors: Ahmed E. Hodaib, Samar F. Abdel Fattah

Abstract:

High-speed transportation is a growing concern. To develop high-speed rails and to increase high-speed efficiencies, the idea of Hyperloop was introduced. The challenge is to overcome the difficulties of managing friction and air-resistance which become substantial when vehicles approach high speeds. In this paper, we are presenting the methodologies of the capsule design which got a design concept innovation award at SpaceX competition in January, 2016. MATLAB scripts are written for the levitation and propulsion calculations and iterations. Computational Fluid Dynamics (CFD) is used to simulate the air flow around the capsule considering the effect of the axial-flow air compressor and the levitation cushion on the air flow. The design procedures of a single-sided linear induction motor are analyzed in detail and its geometric and magnetic parameters are determined. A structural design is introduced and Finite Element Method (FEM) is used to analyze the stresses in different parts. The configuration and the arrangement of the components are illustrated. Moreover, comments on manufacturing are made.

Keywords: High-speed transportation, Hyperloop, railways transportation, single-sided linear induction motor.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 3616
7677 HEXAFLY-INT Project: Design of a High Speed Flight Experiment

Authors: S. Di Benedetto, M. P. Di Donato, A. Rispoli, S. Cardone, J. Riehmer, J. Steelant, L. Vecchione

Abstract:

Thanks to a coordinated funding by the European Space Agency (ESA) and the European Commission (EC) within the 7th framework program, the High-Speed Experimental Fly Vehicles – International (HEXAFLY-INT) project is aimed at the flight validation of hypersonics technologies enabling future trans-atmospheric flights. The project, which is currently involving partners from Europe, Russian Federation and Australia operating under ESA/ESTEC coordination, will achieve the goal of designing, manufacturing, assembling and flight testing an unpowered high speed vehicle in a glider configuration by 2018. The main technical challenges of the project are specifically related to the design of the vehicle gliding configuration and to the complexity of integrating breakthrough technologies with standard aeronautical technologies, e.g. high temperature protection system and airframe cold structures. Also, the sonic boom impact, which is one of the environmental challenges of the high speed flight, will be assessed. This paper provides a comprehensive and detailed update on all the current projects activities carried out to date on both the vehicle and mission design.

Keywords: Design, flight testing, hypersonics, integration.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2270
7676 Adaptation Learning Speed Control for a High- Performance Induction Motor using Neural Networks

Authors: M. Zerikat, S. Chekroun

Abstract:

This paper proposes an effective adaptation learning algorithm based on artificial neural networks for speed control of an induction motor assumed to operate in a high-performance drives environment. The structure scheme consists of a neural network controller and an algorithm for changing the NN weights in order that the motor speed can accurately track of the reference command. This paper also makes uses a very realistic and practical scheme to estimate and adaptively learn the noise content in the speed load torque characteristic of the motor. The availability of the proposed controller is verified by through a laboratory implementation and under computation simulations with Matlab-software. The process is also tested for the tracking property using different types of reference signals. The performance and robustness of the proposed control scheme have evaluated under a variety of operating conditions of the induction motor drives. The obtained results demonstrate the effectiveness of the proposed control scheme system performances, both in steady state error in speed and dynamic conditions, was found to be excellent and those is not overshoot.

Keywords: Electric drive, Induction motor, speed control, Adaptive control, neural network, High Performance.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1993
7675 Real-Time Implementation of STANAG 4539 High-Speed HF Modem

Authors: S. Saraç, F. Kara, C.Vural

Abstract:

High-frequency (HF) communications have been used by military organizations for more than 90 years. The opportunity of very long range communications without the need for advanced equipment makes HF a convenient and inexpensive alternative of satellite communications. Besides the advantages, voice and data transmission over HF is a challenging task, because the HF channel generally suffers from Doppler shift and spread, multi-path, cochannel interference, and many other sources of noise. In constructing an HF data modem, all these effects must be taken into account. STANAG 4539 is a NATO standard for high-speed data transmission over HF. It allows data rates up to 12800 bps over an HF channel of 3 kHz. In this work, an efficient implementation of STANAG 4539 on a single Texas Instruments- TMS320C6747 DSP chip is described. The state-of-the-art algorithms used in the receiver and the efficiency of the implementation enables real-time high-speed data / digitized voice transmission over poor HF channels.

Keywords: High frequency, modem, STANAG 4539.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 5282
7674 Design of the Roller Clamp Robotic Assembly System

Authors: S. S. Ngu, L. C. Kho, T. P. Tan, M. S. Osman

Abstract:

This work deals with the design of the robotic assembly system for the roller clamps. The task is characterized by high speed, high yield and safety engagement. This paper describes the design of different parts of an automated high speed machine to assemble the parts of roller clamps. The roller clamp robotic assembly system performs various processes in the assembly line which include clamp body and roller feeding, inserting the roller into the clamp body, and dividing the rejected clamp and successfully assembled clamp into their own tray. The electrical/electronics design of the machine is discussed. The target is to design a cost effective, minimum maintenance and high speed machine for the industry applications.

Keywords: Machine design, assembly machine, roller clamp, industry applications.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2126
7673 Clarifications on the Damping Mechanism Related to the Hunting Motion of the Wheel Axle of a High-Speed Railway Vehicle

Authors: Barenten Suciu

Abstract:

In order to explain the damping mechanism, related to the hunting motion of the wheel axle of a high-speed railway vehicle, a generalized dynamic model is proposed. Based on such model, analytic expressions for the damping coefficient and damped natural frequency are derived, without imposing restrictions on the ratio between the lateral and vertical creep coefficients. Influence of the travelling speed, wheel conicity, dimensionless mass of the wheel axle, ratio of the creep coefficients, ratio of the track span to the yawing diameter, etc. on the damping coefficient and damped natural frequency, is clarified.

Keywords: High-speed railway vehicle, hunting motion, wheel axle, damping, creep, vibration model, analysis.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1203
7672 Fuzzy Logic Speed Controller for Direct Vector Control of Induction Motor

Authors: Ben Hamed M., Sbita L

Abstract:

This paper presents a new method for the implementation of a direct rotor flux control (DRFOC) of induction motor (IM) drives. It is based on the rotor flux components regulation. The d and q axis rotor flux components feed proportional integral (PI) controllers. The outputs of which are the target stator voltages (vdsref and vqsref). While, the synchronous speed is depicted at the output of rotor speed controller. In order to accomplish variable speed operation, conventional PI like controller is commonly used. These controllers provide limited good performances over a wide range of operations even under ideal field oriented conditions. An alternate approach is to use the so called fuzzy logic controller. The overall investigated system is implemented using dSpace system based on digital signal processor (DSP). Simulation and experimental results have been presented for a one kw IM drives to confirm the validity of the proposed algorithms.

Keywords: DRFOC, fuzzy logic, variable speed drives, control, IM and real time.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1868
7671 Comparative Studies on Dissimilar Metals thin Sheets Using Laser Beam Welding - A Review

Authors: K. Kalaiselvan, A. Elango, N. M. Nagarajan

Abstract:

Laser beam welding for the dissimilar Titanium and Aluminium thin sheets is an emerging area which is having wider applications in aerospace, aircraft, automotive, electronics and in other industries due to its high speed, non-contact, precision with low heat effects, least welding distortion, low labor costs and convenient operation. Laser beam welding of dissimilar metal combinations are increasingly demanded due to high energy densities with small fusion and heat affected zones. Furthermore, no filler or electrode material is required and contamination of weld is also very small. The present study is to reviews the influence of different parameters like laser power, welding speed, power density, beam diameter, focusing distance and type of shielding gas on the mechanical properties of dissimilar metal combinations like SS/Al, Cu/Al and Ti/Al focusing on aluminum to other materials. Research findings reveal that Ti/Al combination gives better metallurgical and mechanical properties than other combinations such as SS/Al and Cu/Al.

Keywords: Laser Beam Welding, dissimilar metals, SS/Al, Cu/Al and Ti/Al sheets.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2635
7670 Robust Control of a High-Speed Manipulator in State Space

Authors: M. M. Fateh, A. Izadbakhsh

Abstract:

A robust control approach is proposed for a high speed manipulator using a hybrid computed torque control approach in the state space. The high-speed manipulator is driven by permanent magnet dc motors to track a trajectory in the joint space in the presence of disturbances. Tracking problem is analyzed in the state space where the completed models are considered for actuators. The proposed control approach can guarantee the stability and a satisfactory tracking performance. A two-link elbow manipulator driven by electrical actuators is simulated and results are shown to satisfy conditions under technical specifications.

Keywords: Computed torque, manipulator, robust control, state space.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2294
7669 The Strength and Metallography of a Bimetallic Friction Stir Bonded Joint between AA6061 and High Hardness Steel

Authors: Richard E. Miller

Abstract:

12.7-mm thick plates of 6061-T6511 aluminum alloy and high hardness steel (528 HV) were successfully joined by a friction stir bonding process using a tungsten-rhenium stir tool. Process parameter variation experiments, which included tool design geometry, plunge and traverse rates, tool offset, spindle tilt, and rotation speed, were conducted to develop a parameter set which yielded a defect free joint. Laboratory tensile tests exhibited yield stresses which exceed the strengths of comparable AA6061-to-AA6061 fusion and friction stir weld joints. Scanning electron microscopy and energy dispersive X-ray spectroscopy analysis also show atomic diffusion at the material interface region.

Keywords: Dissimilar materials, friction stir, welding.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2173
7668 Useful Lifetime Prediction of Rail Pads for High Speed Trains

Authors: Chang Su Woo, Hyun Sung Park

Abstract:

Useful lifetime evaluation of railpads were very important in design procedure to assure the safety and reliability. It is, therefore, necessary to establish a suitable criterion for the replacement period of rail pads. In this study, we performed properties and accelerated heat aging tests of rail pads considering degradation factors and all environmental conditions including operation, and then derived a lifetime prediction equation according to changes in hardness, thickness, and static spring constants in the Arrhenius plot to establish how to estimate the aging of rail pads. With the useful lifetime prediction equation, the lifetime of e-clip pads was 2.5 years when the change in hardness was 10% at 25°C; and that of f-clip pads was 1.7 years. When the change in thickness was 10%, the lifetime of e-clip pads and f-clip pads is 2.6 years respectively. The results obtained in this study to estimate the useful lifetime of rail pads for high speed trains can be used for determining the maintenance and replacement schedule for rail pads.

Keywords: Rail pads, accelerated test, Arrhenius plot, useful lifetime prediction.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2719
7667 400 kW Six Analytical High Speed Generator Designs for Smart Grid Systems

Authors: A. El Shahat, A. Keyhani, H. El Shewy

Abstract:

High Speed PM Generators driven by micro-turbines are widely used in Smart Grid System. So, this paper proposes comparative study among six classical, optimized and genetic analytical design cases for 400 kW output power at tip speed 200 m/s. These six design trials of High Speed Permanent Magnet Synchronous Generators (HSPMSGs) are: Classical Sizing; Unconstrained optimization for total losses and its minimization; Constrained optimized total mass with bounded constraints are introduced in the problem formulation. Then a genetic algorithm is formulated for obtaining maximum efficiency and minimizing machine size. In the second genetic problem formulation, we attempt to obtain minimum mass, the machine sizing that is constrained by the non-linear constraint function of machine losses. Finally, an optimum torque per ampere genetic sizing is predicted. All results are simulated with MATLAB, Optimization Toolbox and its Genetic Algorithm. Finally, six analytical design examples comparisons are introduced with study of machines waveforms, THD and rotor losses.

Keywords: High Speed, Micro - Turbines, Optimization, PM Generators, Smart Grid, MATLAB.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2408
7666 Forward Speed and Draught Requirement of a Semi-Automatic Cassava Planter under Different Wheel Usage

Authors: M. O. Ale, S. I. Manuwa, O. J. Olukunle, T. Ewetumo

Abstract:

Five varying speeds of 1.5, 1.8, 2.1, 2.3 and 2.6 km/h were used at a constant soil depth of 100 mm to determine the effects of forward speed on the draught requirement of a semi-automatic cassava planter under pneumatic wheel and rigid wheel usage on a well-prepared sandy clay loam soil. The soil draught was electronically measured using an on-the-go soil draught measuring instrumentation system developed for the purpose of this research. The results showed an exponential relationship between forward speed and draught in which draught ranging between 24.91 and 744.44 N increased with an increase in forward speed in the rigid wheel experiment. This is contrary to the polynomial relationship observed in the pneumatic wheel experiment in which the draught varied between 96.09 and 343.53 N. It was observed in the experiments that the optimum speed of 1.5 km/h had the least values of draught in both the pneumatic wheel and rigid wheel experiments with higher values in the pneumatic experiment. It was generally noted that the rigid wheel planter with the less value of draught requires less energy requirement for operation. It is therefore concluded that operating the semi-automatic cassava planter with rigid wheels will be more economical for cassava farmers than operating the planter with pneumatic wheels.

Keywords: Cassava planter, planting, forward speed, draught, wheel type.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 87
7665 Analysis of Residual Strain and Stress Distributions in High Speed Milled Specimens using an Indentation Method

Authors: Felipe V. Díaz, Claudio A. Mammana, Armando P. M. Guidobono, Raúl E. Bolmaro

Abstract:

Through a proper analysis of residual strain and stress distributions obtained at the surface of high speed milled specimens of AA 6082–T6 aluminium alloy, the performance of an improved indentation method is evaluated. This method integrates a special device of indentation to a universal measuring machine. The mentioned device allows introducing elongated indents allowing to diminish the absolute error of measurement. It must be noted that the present method offers the great advantage of avoiding both the specific equipment and highly qualified personnel, and their inherent high costs. In this work, the cutting tool geometry and high speed parameters are selected to introduce reduced plastic damage. Through the variation of the depth of cut, the stability of the shapes adopted by the residual strain and stress distributions is evaluated. The results show that the strain and stress distributions remain unchanged, compressive and small. Moreover, these distributions reveal a similar asymmetry when the gradients corresponding to conventional and climb cutting zones are compared.

Keywords: Residual strain, residual stress, high speed milling, indentation methods, aluminium alloys.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1582
7664 Evaluation of Structural Behavior of Wide Sleepers on Asphalt Trackbed Due to Embedded Shear Keys

Authors: Seong Hyeok Lee, Jin Wook Lee, Bu Seog Ju, Woo Young Jung

Abstract:

Korea Train eXpress (KTX) is now being operated, which allows Korea being one of the countries that operates the high-speed rail system. The high-speed rail has its advantage of short time transportation of population and materials, which lead to many researches performed in this matter. In the case of high speed classical trackbed system, the maintenance and usability of gravel ballast system is costly. Recently, the concrete trackbed structure has been introduced as a replacement of classical trackbed system. In this case, the sleeper plays a critical role. Current study investigated to develop the track sleepers readily applicable to the top of the asphalt trackbed, as part of the trcakbed study utilizing the asphalt material. Among many possible shapes and design of sleepers, current study proposed two types of wide-sleepers according to the shear-key installation method. The structural behavior analysis and safety evaluation on each case was conducted using Korean design standard.

Keywords: Wide Sleepers, Asphalt, High-Speed Railway, Shear-key.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2156
7663 BDD Package Based on Boolean NOR Operation

Authors: M. Raseen, A.Assi, P.W. C. Prasad, A. Harb

Abstract:

Binary Decision Diagrams (BDDs) are useful data structures for symbolic Boolean manipulations. BDDs are used in many tasks in VLSI/CAD, such as equivalence checking, property checking, logic synthesis, and false paths. In this paper we describe a new approach for the realization of a BDD package. To perform manipulations of Boolean functions, the proposed approach does not depend on the recursive synthesis operation of the IF-Then-Else (ITE). Instead of using the ITE operation, the basic synthesis algorithm is done using Boolean NOR operation.

Keywords: Binary Decision Diagram (BDD), ITE Operation, Boolean Function, NOR operation.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1906
7662 Fuzzy Clustering of Locations for Degree of Accident Proneness based on Vehicle User Perceptions

Authors: Jayanth Jacob, C. V. Hariharakrishnan, Suganthi L.

Abstract:

The rapid urbanization of cities has a bane in the form road accidents that cause extensive damage to life and limbs. A number of location based factors are enablers of road accidents in the city. The speed of travel of vehicles is non-uniform among locations within a city. In this study, the perception of vehicle users is captured on a 10-point rating scale regarding the degree of variation in speed of travel at chosen locations in the city. The average rating is used to cluster locations using fuzzy c-means clustering and classify them as low, moderate and high speed of travel locations. The high speed of travel locations can be classified proactively to ensure that accidents do not occur due to the speeding of vehicles at such locations. The advantage of fuzzy c-means clustering is that a location may be a part of more than one cluster to a varying degree and this gives a better picture about the location with respect to the characteristic (speed of travel) being studied.

Keywords: C-means clustering, Location Specific, Road Accidents.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1789
7661 Effect of Scanning Speed on Material Efficiency of Laser Metal Deposited Ti6Al4V

Authors: Esther T. Akinlabi, Rasheedat M. Mahamood, Mukul Shukla, Sisa. Pityana

Abstract:

The study of effect of laser scanning speed on material efficiency in Ti6Al4V application is very important because unspent powder is not reusable because of high temperature oxygen pick-up and contamination. This study carried out an extensive study on the effect of scanning speed on material efficiency by varying the speed between 0.01 to 0.1m/sec. The samples are wire brushed and cleaned with acetone after each deposition to remove un-melted particles from the surface of the deposit. The substrate is weighed before and after deposition. A formula was developed to calculate the material efficiency and the scanning speed was compared with the powder efficiency obtained. The results are presented and discussed. The study revealed that the optimum scanning speed exists for this study at 0.01m/sec, above and below which the powder efficiency will drop

Keywords: Additive Manufacturing, Laser Metal Deposition Process, Material efficiency, Processing Parameter, Titanium alloy.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2273
7660 On-line Lao Handwritten Recognition with Proportional Invariant Feature

Authors: Khampheth Bounnady, Boontee Kruatrachue, Somkiat Wangsiripitak

Abstract:

This paper proposed high level feature for online Lao handwritten recognition. This feature must be high level enough so that the feature is not change when characters are written by different persons at different speed and different proportion (shorter or longer stroke, head, tail, loop, curve). In this high level feature, a character is divided in to sequence of curve segments where a segment start where curve reverse rotation (counter clockwise and clockwise). In each segment, following features are gathered cumulative change in direction of curve (- for clockwise), cumulative curve length, cumulative length of left to right, right to left, top to bottom and bottom to top ( cumulative change in X and Y axis of segment). This feature is simple yet robust for high accuracy recognition. The feature can be gather from parsing the original time sampling sequence X, Y point of the pen location without re-sampling. We also experiment on other segmentation point such as the maximum curvature point which was widely used by other researcher. Experiments results show that the recognition rates are at 94.62% in comparing to using maximum curvature point 75.07%. This is due to a lot of variations of turning points in handwritten.

Keywords: Handwritten feature, chain code, Lao handwritten recognition.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1989
7659 Position Control of an AC Servo Motor Using VHDL and FPGA

Authors: Kariyappa B. S., Hariprasad S. A., R. Nagaraj

Abstract:

In this paper, a new method of controlling position of AC Servomotor using Field Programmable Gate Array (FPGA). FPGA controller is used to generate direction and the number of pulses required to rotate for a given angle. Pulses are sent as a square wave, the number of pulses determines the angle of rotation and frequency of square wave determines the speed of rotation. The proposed control scheme has been realized using XILINX FPGA SPARTAN XC3S400 and tested using MUMA012PIS model Alternating Current (AC) servomotor. Experimental results show that the position of the AC Servo motor can be controlled effectively. KeywordsAlternating Current (AC), Field Programmable Gate Array (FPGA), Liquid Crystal Display (LCD).

Keywords: Alternating Current (AC), Field Programmable Gate Array (FPGA), Liquid Crystal Display (LCD).

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 5112
7658 High Speed Rail vs. Other Factors Affecting the Tourism Market in Italy

Authors: F. Pagliara, F. Mauriello

Abstract:

The objective of this paper is to investigate the relationship between the increase of accessibility brought by high speed rail (HSR) systems and the tourism market in Italy. The impacts of HSR projects on tourism can be quantified in different ways. In this manuscript, an empirical analysis has been carried out with the aid of a dataset containing information both on tourism and transport for 99 Italian provinces during the 2006-2016 period. Panel data regression models have been considered, since they allow modelling a wide variety of correlation patterns. Results show that HSR has an impact on the choice of a given destination for Italian tourists while the presence of a second level hub mainly affects foreign tourists. Attraction variables are also significant for both categories and the variables concerning security, such as number of crimes registered in a given destination, have a negative impact on the choice of a destination.

Keywords: Tourists, overnights, high speed rail, attractions, security.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 664
7657 Current Mode Logic Circuits for 10-bit 5GHz High Speed Digital to Analog Converter

Authors: Zhenguo Vincent Chia, Sheung Yan Simon Ng, Minkyu Je

Abstract:

This paper presents CMOS Current Mode Logic (CML) circuits for a high speed Digital to Analog Converter (DAC) using standard CMOS 65nm process. The CML circuits have the propagation delay advantage over its conventional CMOS counterparts due to smaller output voltage swing and tunable bias current. The CML circuits proposed in this paper can achieve a maximum propagation delay of only 9.3ps, which can satisfy the stringent requirement for the 5 GHz high speed DAC application. Another advantage for CML circuits is its dynamic symmetry characteristic resulting in a reduction of an additional inverter. Simulation results show that the proposed CML circuits can operate from 1.08V to 1.3V with temperature ranging from -40 to +120°C.

Keywords: Conventional, Current Mode Logic, DAC, Decoder

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 5778
7656 A New High Speed Neural Model for Fast Character Recognition Using Cross Correlation and Matrix Decomposition

Authors: Hazem M. El-Bakry

Abstract:

Neural processors have shown good results for detecting a certain character in a given input matrix. In this paper, a new idead to speed up the operation of neural processors for character detection is presented. Such processors are designed based on cross correlation in the frequency domain between the input matrix and the weights of neural networks. This approach is developed to reduce the computation steps required by these faster neural networks for the searching process. The principle of divide and conquer strategy is applied through image decomposition. Each image is divided into small in size sub-images and then each one is tested separately by using a single faster neural processor. Furthermore, faster character detection is obtained by using parallel processing techniques to test the resulting sub-images at the same time using the same number of faster neural networks. In contrast to using only faster neural processors, the speed up ratio is increased with the size of the input image when using faster neural processors and image decomposition. Moreover, the problem of local subimage normalization in the frequency domain is solved. The effect of image normalization on the speed up ratio of character detection is discussed. Simulation results show that local subimage normalization through weight normalization is faster than subimage normalization in the spatial domain. The overall speed up ratio of the detection process is increased as the normalization of weights is done off line.

Keywords: Fast Character Detection, Neural Processors, Cross Correlation, Image Normalization, Parallel Processing.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1492
7655 New Design Methodologies for High Speed Low Power XOR-XNOR Circuits

Authors: Shiv Shankar Mishra, S. Wairya, R. K. Nagaria, S. Tiwari

Abstract:

New methodologies for XOR-XNOR circuits are proposed to improve the speed and power as these circuits are basic building blocks of many arithmetic circuits. This paper evaluates and compares the performance of various XOR-XNOR circuits. The performance of the XOR-XNOR circuits based on TSMC 0.18μm process models at all range of the supply voltage starting from 0.6V to 3.3V is evaluated by the comparison of the simulation results obtained from HSPICE. Simulation results reveal that the proposed circuit exhibit lower PDP and EDP, more power efficient and faster when compared with best available XOR-XNOR circuits in the literature.

Keywords: Exclusive-OR (XOR), Exclusive-NOR (XNOR), High speed, Low power, Arithmetic Circuits.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2774
7654 Performance Characteristics of Some Small Scale Wind Turbines Fabricated in Tanzania

Authors: Talam K. E, Kainkwa R. M.

Abstract:

In this study, a field testing has been carried out to assess the power characteristics of some small scale wind turbines fabricated by one native technician from Tanzania. Two Horizontal Axis Wind Turbines (HAWTs), one with five and other with sixteen blades were installed at a height of 2.4m above the ground. The rotation speed of the rotor blade and wind speed approaching the turbines were measured simultaneously. The data obtained were used to determine how the power coefficient varies as a function of tip speed ratio and also the way in which the output power compares with available power in the wind for each turbine. For the sixteen-bladed wind turbine the maximum value of power coefficient of about 0.14 was found to occur at a tip speed ratio of around 0.65 while for the five bladed, these extreme values were respectively attained at approximately 0.2 and 1.7. The five bladed-wind turbine was found to have a higher power efficiency of about 37.5% which is higher compared to the sixteen bladed wind turbine whose corresponding value was 14.37%. This is what would be expected, as the smaller the number of blades of a wind turbine, the higher the electric power efficiency and vice versa. Some of the main reasons for the low efficiency of these machines may be due to the low aerodynamic efficiency of the turbine or low efficiency of the transmission mechanisms such as gearbox and generator which were not examined in this study. It is recommended that some other researches be done to investigate the power efficiency of such machines from different manufacturers in the country. The manufacturers should also be encouraged to use fewer blades in their designs so as to improve the efficiency and at the same time reduce materials used to fabricate the blades. The power efficiency of the electric generators used in the locally fabricated wind turbines should also be examined.

Keywords: Tip speed ratio, Power coefficients and power efficiency.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 3029
7653 Speed Control of Permanent Magnet Synchronous Motor Using Evolutionary Fuzzy PID Controller

Authors: M. Umabharathi, S. Vijayabaskar

Abstract:

Evolutionary Fuzzy PID Speed Controller for Permanent Magnet Synchronous Motor (PMSM) is developed to achieve the Speed control of PMSM in Closed Loop operation and to deal with the existence of transients. Consider a Fuzzy PID control design problem, based on common control Engineering Knowledge. If the transient error is big, that Good transient performance can be obtained by increasing the P and I gains and decreasing the D gains. To autotune the control parameters of the Fuzzy PID controller, the Evolutionary Algorithms (EA) are developed. EA based Fuzzy PID controller provides better speed control and guarantees the closed loop stability. The Evolutionary Fuzzy PID controller can be implemented in real time Applications without any concern about instabilities that leads to system failure or damage.

Keywords: Evolutionary Algorithm (EA), Fuzzy system, Genetic Algorithm (GA), Membership, Permanent Magnet Synchronous Motor (PMSM).

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2893