Search results for: dynamic power consumption
Commenced in January 2007
Frequency: Monthly
Edition: International
Paper Count: 12009

Search results for: dynamic power consumption

12009 Apply Commitment Method in Power System to Minimize the Fuel Cost

Authors: Mohamed Shaban, Adel Yahya

Abstract:

The goal of this paper study is to schedule the power generation units to minimize fuel consumption cost based on a model that solves unit commitment problems. This can be done by utilizing forward dynamic programming method to determine the most economic scheduling of generating units. The model was applied to a power station, which consists of four generating units. The obtained results show that the applications of forward dynamic programming method offer a substantial reduction in fuel consumption cost. The fuel consumption cost has been reduced from $116,326 to $102,181 within a 24-hour period. This means saving about 12.16 % of fuel consumption cost. The study emphasizes the importance of applying modeling schedule programs to the operation of power generation units. As a consequence less consumption of fuel, less loss of power and less pollution

Keywords: unit commitment, forward dynamic, fuel cost, programming, generation scheduling, operation cost, power system, generating units

Procedia PDF Downloads 570
12008 High-Efficiency Comparator for Low-Power Application

Authors: M. Yousefi, N. Nasirzadeh

Abstract:

In this paper, dynamic comparator structure employing two methods for power consumption reduction with applications in low-power high-speed analog-to-digital converters have been presented. The proposed comparator has low consumption thanks to power reduction methods. They have the ability for offset adjustment. The comparator consumes 14.3 μW at 100 MHz which is equal to 11.8 fJ. The comparator has been designed and simulated in 180 nm CMOS. Layouts occupy 210 μm2.

Keywords: efficiency, comparator, power, low

Procedia PDF Downloads 326
12007 Interplay of Power Management at Core and Server Level

Authors: Jörg Lenhardt, Wolfram Schiffmann, Jörg Keller

Abstract:

While the feature sizes of recent Complementary Metal Oxid Semiconductor (CMOS) devices decrease the influence of static power prevails their energy consumption. Thus, power savings that benefit from Dynamic Frequency and Voltage Scaling (DVFS) are diminishing and temporal shutdown of cores or other microchip components become more worthwhile. A consequence of powering off unused parts of a chip is that the relative difference between idle and fully loaded power consumption is increased. That means, future chips and whole server systems gain more power saving potential through power-aware load balancing, whereas in former times this power saving approach had only limited effect, and thus, was not widely adopted. While powering off complete servers was used to save energy, it will be superfluous in many cases when cores can be powered down. An important advantage that comes with that is a largely reduced time to respond to increased computational demand. We include the above developments in a server power model and quantify the advantage. Our conclusion is that strategies from datacenters when to power off server systems might be used in the future on core level, while load balancing mechanisms previously used at core level might be used in the future at server level.

Keywords: power efficiency, static power consumption, dynamic power consumption, CMOS

Procedia PDF Downloads 198
12006 An Adder with Novel PMOS and NMOS for Ultra Low Power Applications in Deep Submicron Technology

Authors: Ch. Ashok Babu, J. V. R. Ravindra, K. Lalkishore

Abstract:

Power has became a burning issue in modern VLSI design. As the technology advances especially below 45nm, technology of leakage power became a big problem apart of the dynamic power. This paper presents a full adder with novel PMOS and NMOS which consume less power compare to conventional full adder, DTMOS full adder. This paper shows different types of adders and their power consumption, area, and delay. All the experiments have been carried out using Cadence® Virtuoso® design lay out editor which shows power consumption of different types of adders.

Keywords: average power, leakage power, delay, DTMOS, PDP

Procedia PDF Downloads 366
12005 Low-Power Digital Filters Design Using a Bypassing Technique

Authors: Thiago Brito Bezerra

Abstract:

This paper presents a novel approach to reduce power consumption of digital filters based on dynamic bypassing of partial products in their multipliers. The bypassing elements incorporated into the multiplier hardware eliminate redundant signal transitions, which appear within the carry-save adders when the partial product is zero. This technique reduces the power consumption by around 20%. The circuit implementation was made using the AMS 0.18 um technology. The bypassing technique applied to the circuits is outlined.

Keywords: digital filter, low-power, bypassing technique, low-pass filter

Procedia PDF Downloads 355
12004 A Case Study of Limited Dynamic Voltage Frequency Scaling in Low-Power Processors

Authors: Hwan Su Jung, Ahn Jun Gil, Jong Tae Kim

Abstract:

Power management techniques are necessary to save power in the microprocessor. By changing the frequency and/or operating voltage of processor, DVFS can control power consumption. In this paper, we perform a case study to find optimal power state transition for DVFS. We propose the equation to find the optimal ratio between executions of states while taking into account the deadline of processing time and the power state transition delay overhead. The experiment is performed on the Cortex-M4 processor, and average 6.5% power saving is observed when DVFS is applied under the deadline condition.

Keywords: deadline, dynamic voltage frequency scaling, power state transition

Procedia PDF Downloads 424
12003 Time-Series Load Data Analysis for User Power Profiling

Authors: Mahdi Daghmhehci Firoozjaei, Minchang Kim, Dima Alhadidi

Abstract:

In this paper, we present a power profiling model for smart grid consumers based on real time load data acquired smart meters. It profiles consumers’ power consumption behaviour using the dynamic time warping (DTW) clustering algorithm. Due to the invariability of signal warping of this algorithm, time-disordered load data can be profiled and consumption features be extracted. Two load types are defined and the related load patterns are extracted for classifying consumption behaviour by DTW. The classification methodology is discussed in detail. To evaluate the performance of the method, we analyze the time-series load data measured by a smart meter in a real case. The results verify the effectiveness of the proposed profiling method with 90.91% true positive rate for load type clustering in the best case.

Keywords: power profiling, user privacy, dynamic time warping, smart grid

Procedia PDF Downloads 109
12002 Reduced Power Consumption by Randomization for DSI3

Authors: David Levy

Abstract:

The newly released Distributed System Interface 3 (DSI3) Bus Standard specification defines 3 modulation levels from which 16 valid symbols are coded. This structure creates power consumption variations depending on the transmitted data of a factor of more than 2 between minimum and maximum. The power generation unit has to consider therefore the worst case maximum consumption all the time and be built accordingly. This paper proposes a method to reduce both the average current consumption and worst case current consumption. The transmitter randomizes the data using several pseudo-random sequences. It then estimates the energy consumption of the generated frames and selects to transmit the one which consumes the least. The transmitter also prepends the index of the pseudo-random sequence, which is not randomized, to allow the receiver to recover the original data using the correct sequence. We show that in the case that the frame occupies most of the DSI3 synchronization period, we achieve average power consumption reduction by up to 13% and the worst case power consumption is reduced by 17.7%.

Keywords: DSI3, energy, power consumption, randomization

Procedia PDF Downloads 504
12001 Assessing the Ways of Improving the Power Saving Modes in the Ore-Grinding Technological Process

Authors: Baghdasaryan Marinka

Abstract:

Monitoring the distribution of electric power consumption in the technological process of ore grinding is conducted. As a result, the impacts of the mill filling rate, the productivity of the ore supply, the volumetric density of the grinding balls, the specific density of the ground ore, and the relative speed of the mill rotation on the specific consumption of electric power have been studied. The power and technological factors affecting the reactive power generated by the synchronous motors, operating within the technological scheme are studied. A block diagram for evaluating the power consumption modes of the technological process is presented, which includes the analysis of the technological scheme, the determination of the place and volumetric density of the ore-grinding mill, the evaluation of the technological and power factors affecting the energy saving process, as well as the assessment of the electric power standards.

Keywords: electric power standard, factor, ore grinding, power consumption, reactive power, technological

Procedia PDF Downloads 524
12000 Low Power CNFET SRAM Design

Authors: Pejman Hosseiniun, Rose Shayeghi, Iman Rahbari, Mohamad Reza Kalhor

Abstract:

CNFET has emerged as an alternative material to silicon for high performance, high stability and low power SRAM design in recent years. SRAM functions as cache memory in computers and many portable devices. In this paper, a new SRAM cell design based on CNFET technology is proposed. The proposed SRAM cell design for CNFET is compared with SRAM cell designs implemented with the conventional CMOS and FinFET in terms of speed, power consumption, stability, and leakage current. The HSPICE simulation and analysis show that the dynamic power consumption of the proposed 8T CNFET SRAM cell’s is reduced about 48% and the SNM is widened up to 56% compared to the conventional CMOS SRAM structure at the expense of 2% leakage power and 3% write delay increase.

Keywords: SRAM cell, CNFET, low power, HSPICE

Procedia PDF Downloads 375
11999 Dynamic Modeling of Wind Farms in the Jeju Power System

Authors: Dae-Hee Son, Sang-Hee Kang, Soon-Ryul Nam

Abstract:

In this paper, we develop a dynamic modeling of wind farms in the Jeju power system. The dynamic model of wind farms is developed to study their dynamic effects on the Jeju power system. PSS/E is used to develop the dynamic model of a wind farm composed of 1.5-MW doubly fed induction generators. The output of a wind farm is regulated based on pitch angle control, in which the two controllable parameters are speed and power references. The simulation results confirm that the pitch angle is successfully controlled, regardless of the variation in wind speed and output regulation.

Keywords: dynamic model, Jeju power system, online limitation, pitch angle control, wind farm

Procedia PDF Downloads 299
11998 An 8-Bit, 100-MSPS Fully Dynamic SAR ADC for Ultra-High Speed Image Sensor

Authors: F. Rarbi, D. Dzahini, W. Uhring

Abstract:

In this paper, a dynamic and power efficient 8-bit and 100-MSPS Successive Approximation Register (SAR) Analog-to-Digital Converter (ADC) is presented. The circuit uses a non-differential capacitive Digital-to-Analog (DAC) architecture segmented by 2. The prototype is produced in a commercial 65-nm 1P7M CMOS technology with 1.2-V supply voltage. The size of the core ADC is 208.6 x 103.6 µm2. The post-layout noise simulation results feature a SNR of 46.9 dB at Nyquist frequency, which means an effective number of bit (ENOB) of 7.5-b. The total power consumption of this SAR ADC is only 1.55 mW at 100-MSPS. It achieves then a figure of merit of 85.6 fJ/step.

Keywords: CMOS analog to digital converter, dynamic comparator, image sensor application, successive approximation register

Procedia PDF Downloads 391
11997 Damping Function and Dynamic Simulation of GUPFC Using IC-HS Algorithm

Authors: Galu Papy Yuma

Abstract:

This paper presents a new dynamic simulation of a power system consisting of four machines equipped with the Generalized Unified Power Flow Controller (GUPFC) to improve power system stability. The dynamic simulation of the GUPFC consists of one shunt converter and two series converters based on voltage source converter, and DC link capacitor installed in the power system. MATLAB/Simulink is used to arrange the dynamic simulation of the GUPFC, where the power system is simulated in order to investigate the impact of the controller on power system oscillation damping and to show the simulation program reliability. The Improved Chaotic- Harmony Search (IC-HS) Algorithm is used to provide the parameter controller in order to lead-lag compensation design. The results obtained by simulation show that the power system with four machines is suitable for stability analysis. The use of GUPFC and IC-HS Algorithm provides the excellent capability in fast damping of power system oscillations and improve greatly the dynamic stability of the power system.

Keywords: GUPFC, IC-HS algorithm, Matlab/Simulink, damping oscillation

Procedia PDF Downloads 422
11996 Power Consumption for Viscoplastic Fluid in a Rotating Vessel with an Anchor Impeller

Authors: Draoui Belkacem, Rahmani Lakhdar, Benachour Elhadj, Seghier Oussama

Abstract:

Rheology is known to have a strong impact on the flow behavior and the power consumption of mechanically agitated vessels. The laminar 2D agitation flow and power consumption of viscoplastic fluids with an anchor impeller in a stirring tank is studied by using computational fluid dynamics (CFD). In this work the objective of this paper is: to evaluate the power consumption for yield stress fluids in standard mixing system. The power consumption is calculated for the different types of anchor impeller configurations and an optimum configuration is proposed.The hydrodynamic fields of incompressible yield stress fluid with model of Bingham in a cylindrical vessel not chicaned equipped with anchor stirrer was undertaken by means of numerical simulation. The flow structures, and especially the effect of inertia, the plasticity and the yield stress, are discussed.

Keywords: rheology, 2D, numerical, anchor, rotating vissel, non-Newtonien fluid

Procedia PDF Downloads 480
11995 Low Power Consuming Electromagnetic Actuators for Pulsed Pilot Stages

Authors: M. Honarpardaz, Z. Zhang, J. Derkx, A. Trangärd, J. Larsson

Abstract:

Pilot stages are one of the most common positioners and regulators in industry. In this paper, we present two novel concepts for pilot stages with low power consumption to regulate a pneumatic device. Pilot 1, first concept, is designed based on a conventional frame core electro-magnetic actuator and a leaf spring to control the air flow and pilot 2 has an axisymmetric actuator and spring made of non-oriented electrical steel. Concepts are simulated in a system modeling tool to study their dynamic behavior. Both concepts are prototyped and tested. Experimental results are comprehensively analyzed and compared. The most promising concept that consumes less than 8 mW is highlighted and presented.

Keywords: electro-magnetic actuator, multidisciplinary system, low power consumption, pilot stage

Procedia PDF Downloads 230
11994 Comparison of Power Consumption of WiFi Inbuilt Internet of Things Device with Bluetooth Low Energy

Authors: Darshana Thomas, Edward Wilkie, James Irvine

Abstract:

The Internet of things (IoT) is currently a highly researched topic, especially within the context of the smart home. These are small sensors that are capable of gathering data and transmitting it to a server. The majority of smart home products use protocols such as ZigBee or Bluetooth Low Energy (BLE). As these small sensors are increasing in number, the need to implement these with much more capable and ubiquitous transmission technology is necessary. The high power consumption is the reason that holds these small sensors back from using other protocols such as the most ubiquitous form of communication, WiFi. Comparing the power consumption of existing transmission technologies to one with WiFi inbuilt, would provide a better understanding for choosing between these technologies. We have developed a small IoT device with WiFi capability and proven that it is much more efficient than the first protocol, 433 MHz. We extend our work in this paper and compare WiFi power consumption with the other most widely used protocol BLE. The experimental results in this paper would conclude whether the developed prototype is capable in terms of power consumption to replace the existing protocol BLE with WiFi.

Keywords: bluetooth, internet of things (IoT), power consumption, WiFi

Procedia PDF Downloads 240
11993 Optimal Solutions for Real-Time Scheduling of Reconfigurable Embedded Systems Based on Neural Networks with Minimization of Power Consumption

Authors: Ghofrane Rehaiem, Hamza Gharsellaoui, Samir Benahmed

Abstract:

In this study, Artificial Neural Networks (ANNs) were used for modeling the parameters that allow the real-time scheduling of embedded systems under resources constraints designed for real-time applications running. The objective of this work is to implement a neural networks based approach for real-time scheduling of embedded systems in order to handle real-time constraints in execution scenarios. In our proposed approach, many techniques have been proposed for both the planning of tasks and reducing energy consumption. In fact, a combination of Dynamic Voltage Scaling (DVS) and time feedback can be used to scale the frequency dynamically adjusting the operating voltage. Indeed, we present in this paper a hybrid contribution that handles the real-time scheduling of embedded systems, low power consumption depending on the combination of DVS and Neural Feedback Scheduling (NFS) with the energy Priority Earlier Deadline First (PEDF) algorithm. Experimental results illustrate the efficiency of our original proposed approach.

Keywords: optimization, neural networks, real-time scheduling, low-power consumption

Procedia PDF Downloads 340
11992 Energy Consumption, Population and Economic Development Dynamics in Nigeria: An Empirical Evidence

Authors: Evelyn Nwamaka Ogbeide-Osaretin, Bright Orhewere

Abstract:

This study examined the role of the population in the linkage between energy consumption and economic development in Nigeria. Time series data on energy consumption, population, and economic development were used for the period 1995 to 2020. The Autoregressive Distributed Lag -Error Correction Model (ARDL-ECM) was engaged. Economic development had a negative substantial impact on energy consumption in the long run. Population growth had a positive significant effect on energy consumption. Government expenditure was also found to impact the level of energy consumption, while energy consumption is not a function of oil price in Nigeria.

Keywords: dynamic analysis, energy consumption, population, economic development, Nigeria

Procedia PDF Downloads 148
11991 Heuristics for Optimizing Power Consumption in the Smart Grid

Authors: Zaid Jamal Saeed Almahmoud

Abstract:

Our increasing reliance on electricity, with inefficient consumption trends, has resulted in several economical and environmental threats. These threats include wasting billions of dollars, draining limited resources, and elevating the impact of climate change. As a solution, the smart grid is emerging as the future power grid, with smart techniques to optimize power consumption and electricity generation. Minimizing the peak power consumption under a fixed delay requirement is a significant problem in the smart grid. In addition, matching demand to supply is a key requirement for the success of the future electricity. In this work, we consider the problem of minimizing the peak demand under appliances constraints by scheduling power jobs with uniform release dates and deadlines. As the problem is known to be NP-Hard, we propose two versions of a heuristic algorithm for solving this problem. Our theoretical analysis and experimental results show that our proposed heuristics outperform existing methods by providing a better approximation to the optimal solution. In addition, we consider dynamic pricing methods to minimize the peak load and match demand to supply in the smart grid. Our contribution is the proposal of generic, as well as customized pricing heuristics to minimize the peak demand and match demand with supply. In addition, we propose optimal pricing algorithms that can be used when the maximum deadline period of the power jobs is relatively small. Finally, we provide theoretical analysis and conduct several experiments to evaluate the performance of the proposed algorithms.

Keywords: heuristics, optimization, smart grid, peak demand, power supply

Procedia PDF Downloads 63
11990 Relationship between Dynamic Balance and Explosive Leg Power in Young Female Gymnasts

Authors: A. Aleksic-Veljkovic, K. Herodek, M. Bratic, M. Mitic

Abstract:

The aim of this study was to investigate the relationship between variables of dynamic balance and countermovement jump in young, female gymnasts. A single-group design was used. Forty-seven young, female gymnasts (Mean±SD; age: 8-12 years, height: 42.88±10.38 cm, mass: 35.59±8.15 kg; body mass index: 17.18±1.62 kg/m2; training hours per week: 15-18 h/week) performed measurements of dynamic balance and countermovement jump with and without arm swing. Significant, but small to medium associations were observed between variables of balance and height of the jump in both protocols of the countermovement jump ranging from r = +0.313 to +0.426. No significant associations were observed between variables of dynamic balance and relative power and peak power of countermovement jump with or without arm swings. The data indicate that dynamic balance and leg power imply that balance and power are independent of each other and may have to be tested and trained complementarily in young gymnasts.

Keywords: artistic gymnastics, countermovement jump, jump height, testing

Procedia PDF Downloads 370
11989 Designing a Low Power Consumption Mote in Wireless Sensor Network

Authors: Saidi Nabiha, Khaled Zaatouri, Walid Fajraoui, Tahar Ezzeddine

Abstract:

The market of Wireless Sensor Network WSN has a great potential and development opportunities. Researchers are focusing on optimization in many fields like efficient deployment and routing protocols. In this article, we will concentrate on energy efficiency for WSN because WSN nodes are habitually deployed in severe No Man’s Land with batteries are not rechargeable, so reducing energy consumption represents an important challenge to extend the life of the network. We will present the design of new WSN mote based on ultra low power STM32L microcontrollers and the ZIGBEE transceiver CC2520. We will compare it to existent motes and we will conclude that our mote is promising in energy consumption.

Keywords: component, WSN mote, power consumption, STM32L, sensors, CC2520

Procedia PDF Downloads 546
11988 Water Saving in Electricity Generation System Considering Natural Gas Limitation

Authors: Mehdi Ganjkhani, Sobhan Badakhshan, Seyedvahid Hosseini

Abstract:

Power plants exploit striking proportion of underground water consumption. Correspondingly, natural gas-fired power plants need less water than the other conventional power plants. Therefore, shifting unit commitment planning toward these power plants would help to save water consumption. This paper discusses the impacts of water consumption limitation on natural gas consumption and vice versa as a short-term water consumption management solution. To do so, conventional unit commitment problem is extended by adding water consumption and natural gas constraints to the previous constrains. The paper presents the impact of water saving on natural gas demands as well as natural gas shortage on water demand. Correspondingly, the additional cost of electricity production according to the aforementioned constraints is evaluated. Finally, a test system is applied to investigate potentials and impacts of water saving and natural gas shortage. Different scenarios are conducted and the results are presented. The results of the study illustrate that in order to use less water for power production it needs to use more natural gas. Meanwhile, natural gas shortage causes to utilize more amount of water in aggregate.

Keywords: electric energy generation system, underground water sources, unit commitment, water consumption saving, natural gas

Procedia PDF Downloads 155
11987 Centralized Peak Consumption Smoothing Revisited for Habitat Energy Scheduling

Authors: M. Benbouzid, Q. Bresson, A. Duclos, K. Longo, Q. Morel

Abstract:

Currently, electricity suppliers must predict the consumption of their customers in order to deduce the power they need to produce. It is, then, important in a first step to optimize household consumption to obtain more constant curves by limiting peaks in energy consumption. Here centralized real time scheduling is proposed to manage the equipment's starting in parallel. The aim is not to exceed a certain limit while optimizing the power consumption across a habitat. The Raspberry Pi is used as a box; this scheduler interacts with the various sensors in 6LoWPAN. At the scale of a single dwelling, household consumption decreases, particularly at times corresponding to the peaks. However, it would be wiser to consider the use of a residential complex so that the result would be more significant. So, the ceiling would no longer be fixed. The scheduling would be done on two scales, firstly, per dwelling, and secondly, at the level of a residential complex.

Keywords: smart grid, energy box, scheduling, Gang Model, energy consumption, energy management system, wireless sensor network

Procedia PDF Downloads 283
11986 Advanced Simulation of Power Consumption of Electric Vehicles

Authors: Ilya Kavalchuk, Hayrettin Arisoy, Alex Stojcevski, Aman Maun Than Oo

Abstract:

Electric vehicles are one of the most complicated electric devices to simulate due to the significant number of different processes involved in electrical structure of it. There are concurrent processes of energy consumption and generation with different onboard systems, which make simulation tasks more complicated to perform. More accurate simulation on energy consumption can provide a better understanding of all energy management for electric transport. As a result of all those processes, electric transport can allow for a more sustainable future and become more convenient in relation to the distance range and recharging time. This paper discusses the problems of energy consumption simulations for electric vehicles using different software packages to provide ideas on how to make this process more precise, which can help engineers create better energy management strategies for electric vehicles.

Keywords: electric vehicles, EV, power consumption, power management, simulation

Procedia PDF Downloads 482
11985 Energy Consumption Forecast Procedure for an Industrial Facility

Authors: Tatyana Aleksandrovna Barbasova, Lev Sergeevich Kazarinov, Olga Valerevna Kolesnikova, Aleksandra Aleksandrovna Filimonova

Abstract:

We regard forecasting of energy consumption by private production areas of a large industrial facility as well as by the facility itself. As for production areas the forecast is made based on empirical dependencies of the specific energy consumption and the production output. As for the facility itself implementation of the task to minimize the energy consumption forecasting error is based on adjustment of the facility’s actual energy consumption values evaluated with the metering device and the total design energy consumption of separate production areas of the facility. The suggested procedure of optimal energy consumption was tested based on the actual data of core product output and energy consumption by a group of workshops and power plants of the large iron and steel facility. Test results show that implementation of this procedure gives the mean accuracy of energy consumption forecasting for winter 2014 of 0.11% for the group of workshops and 0.137% for the power plants.

Keywords: energy consumption, energy consumption forecasting error, energy efficiency, forecasting accuracy, forecasting

Procedia PDF Downloads 405
11984 Dynamic Analysis of Turbine Foundation

Authors: Mogens Saberi

Abstract:

This paper presents different design approaches for the design of turbine foundations. In the design process, several unknown factors must be considered such as the soil stiffness at the site. The main static and dynamic loads are presented and the results of a dynamic simulation are presented for a turbine foundation that is currently being built. A turbine foundation is an important part of a power plant since a non-optimal behavior of the foundation can damage the turbine itself and thereby stop the power production with large consequences.

Keywords: dynamic turbine design, harmonic response analysis, practical turbine design experience, concrete foundation

Procedia PDF Downloads 281
11983 Analysis of Lightweight Register Hardware Threat

Authors: Yang Luo, Beibei Wang

Abstract:

In this paper, we present a design methodology of lightweight register transfer level (RTL) hardware threat implemented based on a MAX II FPGA platform. The dynamic power consumed by the toggling of the various bit of registers as well as the dynamic power consumed per unit of logic circuits were analyzed. The hardware threat was designed taking advantage of the differences in dynamic power consumed per unit of logic circuits to hide the transfer information. The experiment result shows that the register hardware threat was successfully implemented by using different dynamic power consumed per unit of logic circuits to hide the key information of DES encryption module. It needs more than 100000 sample curves to reduce the background noise by comparing the sample space when it completely meets the time alignment requirement. In additional, an external trigger signal is playing a very important role to detect the hardware threat in this experiment.

Keywords: side-channel analysis, hardware Trojan, register transfer level, dynamic power

Procedia PDF Downloads 253
11982 Adaptive Routing Protocol for Dynamic Wireless Sensor Networks

Authors: Fayez Mostafa Alhamoui, Adnan Hadi Mahdi Al- Helali

Abstract:

The main issue in designing a wireless sensor network (WSN) is the finding of a proper routing protocol that complies with the several requirements of high reliability, short latency, scalability, low power consumption, and many others. This paper proposes a novel routing algorithm that complies with these design requirements. The new routing protocol divides the WSN into several sub-networks and each sub-network is divided into several clusters. This division is designed to reduce the number of radio transmission and hence decreases the power consumption. The network division may be changed dynamically to adapt with the network changes and allows the realization of the design requirements.

Keywords: wireless sensor networks, routing protocols, AD HOC topology, cluster, sub-network, WSN design requirements

Procedia PDF Downloads 507
11981 Design and Analysis of Wireless Charging Lane for Light Rail Transit

Authors: Watcharet Kongwarakom, Tosaphol Ratniyomchai, Thanatchai Kulworawanichpong

Abstract:

This paper presents a design and analysis of wireless charging lane system (WCLS) for light rail transit (LRT) by considering the performance of wireless charging, traffic conditions and energy consumption drawn by the LRT system. The dynamic of the vehicle movement in terms of the vehicle speed profile during running on the WCLS, a dwell time during stopping at the station for taking the WCLS and the capacity of the WCLS in each section are taken into account to alignment design of the WCLS. This paper proposes a case study of the design of the WCLS into 2 sub-cases including continuous and discontinuous WCLS with the same distance of WCLS in total. The energy consumption by the LRT through the WCLS with the different designs of the WCLS is compared to find out the better configuration of those two cases by considering the best performance of the power transfer between the LRT and the WCLS.

Keywords: Light rail transit, Wireless charging lane, Energy consumption, Power transfer

Procedia PDF Downloads 128
11980 Virtual Routing Function Allocation Method for Minimizing Total Network Power Consumption

Authors: Kenichiro Hida, Shin-Ichi Kuribayashi

Abstract:

In a conventional network, most network devices, such as routers, are dedicated devices that do not have much variation in capacity. In recent years, a new concept of network functions virtualisation (NFV) has come into use. The intention is to implement a variety of network functions with software on general-purpose servers and this allows the network operator to select their capacities and locations without any constraints. This paper focuses on the allocation of NFV-based routing functions which are one of critical network functions, and presents the virtual routing function allocation algorithm that minimizes the total power consumption. In addition, this study presents the useful allocation policy of virtual routing functions, based on an evaluation with a ladder-shaped network model. This policy takes the ratio of the power consumption of a routing function to that of a circuit and traffic distribution between areas into consideration. Furthermore, the present paper shows that there are cases where the use of NFV-based routing functions makes it possible to reduce the total power consumption dramatically, in comparison to a conventional network, in which it is not economically viable to distribute small-capacity routing functions.

Keywords: NFV, resource allocation, virtual routing function, minimum power consumption

Procedia PDF Downloads 315