Search results for: power consumption
Commenced in January 2007
Frequency: Monthly
Edition: International
Paper Count: 8708

Search results for: power consumption

8708 Reduced Power Consumption by Randomization for DSI3

Authors: David Levy

Abstract:

The newly released Distributed System Interface 3 (DSI3) Bus Standard specification defines 3 modulation levels from which 16 valid symbols are coded. This structure creates power consumption variations depending on the transmitted data of a factor of more than 2 between minimum and maximum. The power generation unit has to consider therefore the worst case maximum consumption all the time and be built accordingly. This paper proposes a method to reduce both the average current consumption and worst case current consumption. The transmitter randomizes the data using several pseudo-random sequences. It then estimates the energy consumption of the generated frames and selects to transmit the one which consumes the least. The transmitter also prepends the index of the pseudo-random sequence, which is not randomized, to allow the receiver to recover the original data using the correct sequence. We show that in the case that the frame occupies most of the DSI3 synchronization period, we achieve average power consumption reduction by up to 13% and the worst case power consumption is reduced by 17.7%.

Keywords: DSI3, energy, power consumption, randomization

Procedia PDF Downloads 497
8707 Assessing the Ways of Improving the Power Saving Modes in the Ore-Grinding Technological Process

Authors: Baghdasaryan Marinka

Abstract:

Monitoring the distribution of electric power consumption in the technological process of ore grinding is conducted. As a result, the impacts of the mill filling rate, the productivity of the ore supply, the volumetric density of the grinding balls, the specific density of the ground ore, and the relative speed of the mill rotation on the specific consumption of electric power have been studied. The power and technological factors affecting the reactive power generated by the synchronous motors, operating within the technological scheme are studied. A block diagram for evaluating the power consumption modes of the technological process is presented, which includes the analysis of the technological scheme, the determination of the place and volumetric density of the ore-grinding mill, the evaluation of the technological and power factors affecting the energy saving process, as well as the assessment of the electric power standards.

Keywords: electric power standard, factor, ore grinding, power consumption, reactive power, technological

Procedia PDF Downloads 518
8706 High-Efficiency Comparator for Low-Power Application

Authors: M. Yousefi, N. Nasirzadeh

Abstract:

In this paper, dynamic comparator structure employing two methods for power consumption reduction with applications in low-power high-speed analog-to-digital converters have been presented. The proposed comparator has low consumption thanks to power reduction methods. They have the ability for offset adjustment. The comparator consumes 14.3 μW at 100 MHz which is equal to 11.8 fJ. The comparator has been designed and simulated in 180 nm CMOS. Layouts occupy 210 μm2.

Keywords: efficiency, comparator, power, low

Procedia PDF Downloads 320
8705 Power Consumption for Viscoplastic Fluid in a Rotating Vessel with an Anchor Impeller

Authors: Draoui Belkacem, Rahmani Lakhdar, Benachour Elhadj, Seghier Oussama

Abstract:

Rheology is known to have a strong impact on the flow behavior and the power consumption of mechanically agitated vessels. The laminar 2D agitation flow and power consumption of viscoplastic fluids with an anchor impeller in a stirring tank is studied by using computational fluid dynamics (CFD). In this work the objective of this paper is: to evaluate the power consumption for yield stress fluids in standard mixing system. The power consumption is calculated for the different types of anchor impeller configurations and an optimum configuration is proposed.The hydrodynamic fields of incompressible yield stress fluid with model of Bingham in a cylindrical vessel not chicaned equipped with anchor stirrer was undertaken by means of numerical simulation. The flow structures, and especially the effect of inertia, the plasticity and the yield stress, are discussed.

Keywords: rheology, 2D, numerical, anchor, rotating vissel, non-Newtonien fluid

Procedia PDF Downloads 473
8704 An Adder with Novel PMOS and NMOS for Ultra Low Power Applications in Deep Submicron Technology

Authors: Ch. Ashok Babu, J. V. R. Ravindra, K. Lalkishore

Abstract:

Power has became a burning issue in modern VLSI design. As the technology advances especially below 45nm, technology of leakage power became a big problem apart of the dynamic power. This paper presents a full adder with novel PMOS and NMOS which consume less power compare to conventional full adder, DTMOS full adder. This paper shows different types of adders and their power consumption, area, and delay. All the experiments have been carried out using Cadence® Virtuoso® design lay out editor which shows power consumption of different types of adders.

Keywords: average power, leakage power, delay, DTMOS, PDP

Procedia PDF Downloads 359
8703 Comparison of Power Consumption of WiFi Inbuilt Internet of Things Device with Bluetooth Low Energy

Authors: Darshana Thomas, Edward Wilkie, James Irvine

Abstract:

The Internet of things (IoT) is currently a highly researched topic, especially within the context of the smart home. These are small sensors that are capable of gathering data and transmitting it to a server. The majority of smart home products use protocols such as ZigBee or Bluetooth Low Energy (BLE). As these small sensors are increasing in number, the need to implement these with much more capable and ubiquitous transmission technology is necessary. The high power consumption is the reason that holds these small sensors back from using other protocols such as the most ubiquitous form of communication, WiFi. Comparing the power consumption of existing transmission technologies to one with WiFi inbuilt, would provide a better understanding for choosing between these technologies. We have developed a small IoT device with WiFi capability and proven that it is much more efficient than the first protocol, 433 MHz. We extend our work in this paper and compare WiFi power consumption with the other most widely used protocol BLE. The experimental results in this paper would conclude whether the developed prototype is capable in terms of power consumption to replace the existing protocol BLE with WiFi.

Keywords: bluetooth, internet of things (IoT), power consumption, WiFi

Procedia PDF Downloads 237
8702 Apply Commitment Method in Power System to Minimize the Fuel Cost

Authors: Mohamed Shaban, Adel Yahya

Abstract:

The goal of this paper study is to schedule the power generation units to minimize fuel consumption cost based on a model that solves unit commitment problems. This can be done by utilizing forward dynamic programming method to determine the most economic scheduling of generating units. The model was applied to a power station, which consists of four generating units. The obtained results show that the applications of forward dynamic programming method offer a substantial reduction in fuel consumption cost. The fuel consumption cost has been reduced from $116,326 to $102,181 within a 24-hour period. This means saving about 12.16 % of fuel consumption cost. The study emphasizes the importance of applying modeling schedule programs to the operation of power generation units. As a consequence less consumption of fuel, less loss of power and less pollution

Keywords: unit commitment, forward dynamic, fuel cost, programming, generation scheduling, operation cost, power system, generating units

Procedia PDF Downloads 563
8701 Interplay of Power Management at Core and Server Level

Authors: Jörg Lenhardt, Wolfram Schiffmann, Jörg Keller

Abstract:

While the feature sizes of recent Complementary Metal Oxid Semiconductor (CMOS) devices decrease the influence of static power prevails their energy consumption. Thus, power savings that benefit from Dynamic Frequency and Voltage Scaling (DVFS) are diminishing and temporal shutdown of cores or other microchip components become more worthwhile. A consequence of powering off unused parts of a chip is that the relative difference between idle and fully loaded power consumption is increased. That means, future chips and whole server systems gain more power saving potential through power-aware load balancing, whereas in former times this power saving approach had only limited effect, and thus, was not widely adopted. While powering off complete servers was used to save energy, it will be superfluous in many cases when cores can be powered down. An important advantage that comes with that is a largely reduced time to respond to increased computational demand. We include the above developments in a server power model and quantify the advantage. Our conclusion is that strategies from datacenters when to power off server systems might be used in the future on core level, while load balancing mechanisms previously used at core level might be used in the future at server level.

Keywords: power efficiency, static power consumption, dynamic power consumption, CMOS

Procedia PDF Downloads 188
8700 Designing a Low Power Consumption Mote in Wireless Sensor Network

Authors: Saidi Nabiha, Khaled Zaatouri, Walid Fajraoui, Tahar Ezzeddine

Abstract:

The market of Wireless Sensor Network WSN has a great potential and development opportunities. Researchers are focusing on optimization in many fields like efficient deployment and routing protocols. In this article, we will concentrate on energy efficiency for WSN because WSN nodes are habitually deployed in severe No Man’s Land with batteries are not rechargeable, so reducing energy consumption represents an important challenge to extend the life of the network. We will present the design of new WSN mote based on ultra low power STM32L microcontrollers and the ZIGBEE transceiver CC2520. We will compare it to existent motes and we will conclude that our mote is promising in energy consumption.

Keywords: component, WSN mote, power consumption, STM32L, sensors, CC2520

Procedia PDF Downloads 540
8699 Water Saving in Electricity Generation System Considering Natural Gas Limitation

Authors: Mehdi Ganjkhani, Sobhan Badakhshan, Seyedvahid Hosseini

Abstract:

Power plants exploit striking proportion of underground water consumption. Correspondingly, natural gas-fired power plants need less water than the other conventional power plants. Therefore, shifting unit commitment planning toward these power plants would help to save water consumption. This paper discusses the impacts of water consumption limitation on natural gas consumption and vice versa as a short-term water consumption management solution. To do so, conventional unit commitment problem is extended by adding water consumption and natural gas constraints to the previous constrains. The paper presents the impact of water saving on natural gas demands as well as natural gas shortage on water demand. Correspondingly, the additional cost of electricity production according to the aforementioned constraints is evaluated. Finally, a test system is applied to investigate potentials and impacts of water saving and natural gas shortage. Different scenarios are conducted and the results are presented. The results of the study illustrate that in order to use less water for power production it needs to use more natural gas. Meanwhile, natural gas shortage causes to utilize more amount of water in aggregate.

Keywords: electric energy generation system, underground water sources, unit commitment, water consumption saving, natural gas

Procedia PDF Downloads 146
8698 Centralized Peak Consumption Smoothing Revisited for Habitat Energy Scheduling

Authors: M. Benbouzid, Q. Bresson, A. Duclos, K. Longo, Q. Morel

Abstract:

Currently, electricity suppliers must predict the consumption of their customers in order to deduce the power they need to produce. It is, then, important in a first step to optimize household consumption to obtain more constant curves by limiting peaks in energy consumption. Here centralized real time scheduling is proposed to manage the equipment's starting in parallel. The aim is not to exceed a certain limit while optimizing the power consumption across a habitat. The Raspberry Pi is used as a box; this scheduler interacts with the various sensors in 6LoWPAN. At the scale of a single dwelling, household consumption decreases, particularly at times corresponding to the peaks. However, it would be wiser to consider the use of a residential complex so that the result would be more significant. So, the ceiling would no longer be fixed. The scheduling would be done on two scales, firstly, per dwelling, and secondly, at the level of a residential complex.

Keywords: smart grid, energy box, scheduling, Gang Model, energy consumption, energy management system, wireless sensor network

Procedia PDF Downloads 271
8697 Advanced Simulation of Power Consumption of Electric Vehicles

Authors: Ilya Kavalchuk, Hayrettin Arisoy, Alex Stojcevski, Aman Maun Than Oo

Abstract:

Electric vehicles are one of the most complicated electric devices to simulate due to the significant number of different processes involved in electrical structure of it. There are concurrent processes of energy consumption and generation with different onboard systems, which make simulation tasks more complicated to perform. More accurate simulation on energy consumption can provide a better understanding of all energy management for electric transport. As a result of all those processes, electric transport can allow for a more sustainable future and become more convenient in relation to the distance range and recharging time. This paper discusses the problems of energy consumption simulations for electric vehicles using different software packages to provide ideas on how to make this process more precise, which can help engineers create better energy management strategies for electric vehicles.

Keywords: electric vehicles, EV, power consumption, power management, simulation

Procedia PDF Downloads 475
8696 Energy Consumption Forecast Procedure for an Industrial Facility

Authors: Tatyana Aleksandrovna Barbasova, Lev Sergeevich Kazarinov, Olga Valerevna Kolesnikova, Aleksandra Aleksandrovna Filimonova

Abstract:

We regard forecasting of energy consumption by private production areas of a large industrial facility as well as by the facility itself. As for production areas the forecast is made based on empirical dependencies of the specific energy consumption and the production output. As for the facility itself implementation of the task to minimize the energy consumption forecasting error is based on adjustment of the facility’s actual energy consumption values evaluated with the metering device and the total design energy consumption of separate production areas of the facility. The suggested procedure of optimal energy consumption was tested based on the actual data of core product output and energy consumption by a group of workshops and power plants of the large iron and steel facility. Test results show that implementation of this procedure gives the mean accuracy of energy consumption forecasting for winter 2014 of 0.11% for the group of workshops and 0.137% for the power plants.

Keywords: energy consumption, energy consumption forecasting error, energy efficiency, forecasting accuracy, forecasting

Procedia PDF Downloads 398
8695 Low-Power Digital Filters Design Using a Bypassing Technique

Authors: Thiago Brito Bezerra

Abstract:

This paper presents a novel approach to reduce power consumption of digital filters based on dynamic bypassing of partial products in their multipliers. The bypassing elements incorporated into the multiplier hardware eliminate redundant signal transitions, which appear within the carry-save adders when the partial product is zero. This technique reduces the power consumption by around 20%. The circuit implementation was made using the AMS 0.18 um technology. The bypassing technique applied to the circuits is outlined.

Keywords: digital filter, low-power, bypassing technique, low-pass filter

Procedia PDF Downloads 347
8694 Virtual Routing Function Allocation Method for Minimizing Total Network Power Consumption

Authors: Kenichiro Hida, Shin-Ichi Kuribayashi

Abstract:

In a conventional network, most network devices, such as routers, are dedicated devices that do not have much variation in capacity. In recent years, a new concept of network functions virtualisation (NFV) has come into use. The intention is to implement a variety of network functions with software on general-purpose servers and this allows the network operator to select their capacities and locations without any constraints. This paper focuses on the allocation of NFV-based routing functions which are one of critical network functions, and presents the virtual routing function allocation algorithm that minimizes the total power consumption. In addition, this study presents the useful allocation policy of virtual routing functions, based on an evaluation with a ladder-shaped network model. This policy takes the ratio of the power consumption of a routing function to that of a circuit and traffic distribution between areas into consideration. Furthermore, the present paper shows that there are cases where the use of NFV-based routing functions makes it possible to reduce the total power consumption dramatically, in comparison to a conventional network, in which it is not economically viable to distribute small-capacity routing functions.

Keywords: NFV, resource allocation, virtual routing function, minimum power consumption

Procedia PDF Downloads 305
8693 Towards the Use of Software Product Metrics as an Indicator for Measuring Mobile Applications Power Consumption

Authors: Ching Kin Keong, Koh Tieng Wei, Abdul Azim Abd. Ghani, Khaironi Yatim Sharif

Abstract:

Maintaining factory default battery endurance rate over time in supporting huge amount of running applications on energy-restricted mobile devices has created a new challenge for mobile applications developer. While delivering customers’ unlimited expectations, developers are barely aware of efficient use of energy from the application itself. Thus developers need a set of valid energy consumption indicators in assisting them to develop energy saving applications. In this paper, we present a few software product metrics that can be used as an indicator to measure energy consumption of Android-based mobile applications in the early of design stage. In particular, Trepn Profiler (Power profiling tool for Qualcomm processor) has used to collect the data of mobile application power consumption, and then analyzed for the 23 software metrics in this preliminary study. The results show that McCabe cyclomatic complexity, number of parameters, nested block depth, number of methods, weighted methods per class, number of classes, total lines of code and method lines have direct relationship with power consumption of mobile application.

Keywords: battery endurance, software metrics, mobile application, power consumption

Procedia PDF Downloads 360
8692 Numerical Study of Two Mechanical Stirring Systems for Yield Stress Fluid

Authors: Amine Benmoussa, Mebrouk Rebhi, Rahmani Lakhdar

Abstract:

Mechanically agitated vessels are commonly used for various operations within a wide range process in chemical, pharmaceutical, polymer, biochemical, mineral, petroleum industries. Depending on the purpose of the operation carried out in mixer, the best choice for geometry of the tank and agitator type can vary widely. In this paper, the laminar 2D agitation flow and power consumption of viscoplastic fluids with straight and circular gate impellers in a stirring tank is studied by using computational fluid dynamics (CFD), where the velocity profile, the velocity fields and power consumption was analyzed.

Keywords: CFD, mechanical stirring, power consumption, yield stress fluid

Procedia PDF Downloads 303
8691 Reducing Power Consumption in Network on Chip Using Scramble Techniques

Authors: Vinayaga Jagadessh Raja, R. Ganesan, S. Ramesh Kumar

Abstract:

An ever more significant fraction of the overall power dissipation of a network-on-chip (NoC) based system on- chip (SoC) is due to the interconnection scheme. In information, as equipment shrinks, the power contributes of NoC links starts to compete with that of NoC routers. In this paper, we propose the use of clock gating in the data encoding techniques as a viable way to reduce both power dissipation and time consumption of NoC links. The projected scramble scheme exploits the wormhole switching techniques. That is, flits are scramble by the network interface (NI) before they are injected in the network and are decoded by the target NI. This makes the scheme transparent to the underlying network since the encoder and decoder logic is integrated in the NI and no modification of the routers structural design is required. We review the projected scramble scheme on a set of representative data streams (both synthetic and extracted from real applications) showing that it is possible to reduce the power contribution of both the self-switching activity and the coupling switching activity in inter-routers links.

Keywords: Xilinx 12.1, power consumption, Encoder, NOC

Procedia PDF Downloads 363
8690 Energy-Efficient Internet of Things Communications: A Comparative Study of Long-Term Evolution for Machines and Narrowband Internet of Things Technologies

Authors: Nassim Labdaoui, Fabienne Nouvel, Stéphane Dutertre

Abstract:

The Internet of Things (IoT) is emerging as a crucial communication technology for the future. Many solutions have been proposed, and among them, licensed operators have put forward LTE-M and NB-IoT. However, implementing these technologies requires a good understanding of the device energy requirements, which can vary depending on the coverage conditions. In this paper, we investigate the power consumption of LTE-M and NB-IoT devices using Ublox SARA-R422S modules based on relevant standards from two French operators. The measurements were conducted under different coverage conditions, and we also present an empirical consumption model based on the different states of the radio modem as per the RRC protocol specifications. Our findings indicate that these technologies can achieve a 5 years operational battery life under certain conditions. Moreover, we conclude that the size of transmitted data does not have a significant impact on the total power consumption of the device under favorable coverage conditions. However, it can quickly influence the battery life of the device under harsh coverage conditions. Overall, this paper offers insights into the power consumption of LTE-M and NBIoT devices and provides useful information for those considering the use of these technologies.

Keywords: internet of things, LTE-M, NB-IoT, MQTT, cellular IoT, power consumption

Procedia PDF Downloads 93
8689 Evaluation of PV Orientation Effect on Mismatch between Consumption Load and PV Power Profiles

Authors: Iyad M. Muslih, Yehya Abdellatif, Sara Qutishat

Abstract:

Renewable energy and in particular solar photovoltaic energy is emerging as a reasonable power generation source. The intermittent and unpredictable nature of solar energy can represent a serious challenge to the utility grids, specifically at relatively high penetration. To minimize the impact of PV power systems on the grid, self-consumption is encouraged. Self-consumption can be improved by matching the PV power generation with the electrical load consumption profile. This study will focus in studying different load profiles and comparing them to typical solar PV power generation at the selected sites with the purpose of analyzing the mismatch in consumption load profile for different users; residential, commercial, and industrial versus the solar photovoltaic output generation. The PV array orientation can be adjusted to reduce the mismatch effects. The orientation shift produces a corresponding shift in the energy production curve. This shift has a little effect on the mismatch for residential loads due to the fact the peak load occurs at night due to lighting loads. This minor gain does not justify the power production loss associated with the orientation shift. The orientation shift for both commercial and industrial cases lead to valuable decrease in the mismatch effects. Such a design is worth considering for reducing grid penetration. Furthermore, the proposed orientation shift yielded better results during the summer time due to the extended daylight hours.

Keywords: grid impact, HOMER, power mismatch, solar PV energy

Procedia PDF Downloads 572
8688 Optimizing Power in Sequential Circuits by Reducing Leakage Current Using Enhanced Multi Threshold CMOS

Authors: Patikineti Sreenivasulu, K. srinivasa Rao, A. Vinaya Babu

Abstract:

The demand for portability, performance and high functional integration density of digital devices leads to the scaling of complementary metal oxide semiconductor (CMOS) devices inevitable. The increase in power consumption, coupled with the increasing demand for portable/hand-held electronics, has made power consumption a dominant concern in the design of VLSI circuits today. MTCMOS technology provides low leakage and high performance operation by utilizing high speed, low Vt (LVT) transistors for logic cells and low leakage, high Vt (HVT) devices as sleep transistors. Sleep transistors disconnect logic cells from the supply and/or ground to reduce the leakage in the sleep mode. In this technology, energy consumption while doing the mode transition and minimum time required to turn ON the circuit upon receiving the wake up signal are issues to be considered because these can adversely impact the performance of VLSI circuit. In this paper we are introducing an enhancing method of MTCMOS technology to optimize the power in MTCMOS sequential circuits.

Keywords: power consumption, ultra-low power, leakage, sub threshold, MTCMOS

Procedia PDF Downloads 368
8687 Design Of High Sensitivity Transceiver for WSN

Authors: A. Anitha, M. Aishwariya

Abstract:

The realization of truly ubiquitous wireless sensor networks (WSN) demands Ultra-low power wireless communication capability. Because the radio transceiver in a wireless sensor node consumes more power when compared to the computation part it is necessary to reduce the power consumption. Hence, a low power transceiver is designed and implemented in a 120 nm CMOS technology for wireless sensor nodes. The power consumption of the transceiver is reduced still by maintaining the sensitivity. The transceiver designed combines the blocks including differential oscillator, mixer, envelope detector, power amplifiers, and LNA. RF signal modulation and demodulation is carried by On-Off keying method at 2.4 GHz which is said as ISM band. The transmitter demonstrates an output power of 2.075 mW while consuming a supply voltage of range 1.2 V-5.0 V. Here the comparison of LNA and power amplifier is done to obtain an amplifier which produces a high gain of 1.608 dB at receiver which is suitable to produce a desired sensitivity. The multistage RF amplifier is used to improve the gain at the receiver side. The power dissipation of the circuit is in the range of 0.183-0.323 mW. The receiver achieves a sensitivity of about -95 dBm with data rate of 1 Mbps.

Keywords: CMOS, envelope detector, ISM band, LNA, low power electronics, PA, wireless transceiver

Procedia PDF Downloads 473
8686 Thermo-Economic Analysis of a Natural Draft Direct Cooling System for a Molten Salt Power Tower

Authors: Huiqiang Yang, Domingo Santana

Abstract:

Reducing parasitic power consumption of concentrating solar power plants is the main challenge to increase the overall efficiency, particularly for molten salt tower technology. One of the most effective approaches to reduce the parasitic power consumption is to implement a natural draft dry cooling system instead of the standard utilized mechanical draft dry cooling system. In this paper, a thermo-economic analysis of a natural draft direct cooling system was performed based on a 100MWe commercial scale molten salt power plant. In this configuration with a natural draft direct cooling system, the exhaust steam from steam turbine flows directly to the heat exchanger bundles inside the natural draft dry cooling tower, which eliminates the power consumption of circulation pumps or fans, although the cooling tower shadows a portion of the heliostat field. The simulation results also show that compared to a mechanical draft cooling system the annual solar field efficiency is decreased by about 0.2% due to the shadow, which is equal to a reduction of approximately 13% of the solar field area. As a contrast, reducing the solar field size by 13% in purpose in a molten salt power plant with a natural draft drying cooling system actually will lead to a reduction of levelized cost of electricity (LCOE) by about 4.06% without interfering the power generated.

Keywords: molten salt power tower, natural draft dry cooling, parasitic power consumption, commercial scale

Procedia PDF Downloads 136
8685 Power Management in Wireless Combustible Gas Sensors

Authors: Denis Spirjakin, Alexander Baranov, Saba Akbari, Natalia Kalenova, Vladimir Sleptsov

Abstract:

In this paper we propose the approach to power management in wireless combustible gas sensors. This approach makes possible drastically prolong sensor nodes autonomous lifetime. That is necessary to tie battery replacement to every year technical service procedures which are claimed by safety standards. Using this approach the current consumption of the wireless combustible gas sensor node was decreased from 80 mA to less than 2 mA and the power consumption from more than 220 mW to 4.6 mW. These values provide autonomous lifetime of the node more than one year.

Keywords: Gas sensors, power management, wireless sensor network

Procedia PDF Downloads 683
8684 Investigation of the Possibility of Using Carbon Onion Nanolubrication with DLC Cutting Tool to Reduce the Machining Power Consumption

Authors: Ahmed A. D. Sarhan, M. Sayuti, M. Hamdi

Abstract:

Due to rapid consumption of world's fossil fuel resources and impracticality of large-scale application and production of renewable energy, the significance of energy efficiency improvement of current available energy modes has been widely realized by both industry and academia. In the CNC machining field, the key solution for this issue is by increasing the effectiveness of the existing lubrication systems as it could reduce the power required to overcome the friction component in machining process. For more improvement, introducing the nanolubrication could produce much less power consumption as the rolling action of billions units of nanoparticle in the tool chip interface could reduce the cutting forces significantly. In this research, the possibility of using carbon onion nanolubrication with DLC cutting tool is investigated to reduce the machining power consumption. Carbon onion nanolubrication has been successfully developed with high tribology performance and mixed with ordinary mineral oil. The proper sonification method is used to provide a way to mix and suspend the particles thoroughly and efficiently. Furthermore, Diamond-Like Carbon (DLC) cutting tool is used and expected to play significant role in reducing friction and cutting forces and increasing abrasion resistance. The results showed significant reduction of the cutting force and the working power compared with the other conditions of using carbon black and normal lubrication systems.

Keywords: carbon onion, nanolubrication, machining power consumption, DLC cutting tool

Procedia PDF Downloads 402
8683 Mapping of Electrical Energy Consumption Yogyakarta Province in 2014-2025

Authors: Alfi Al Fahreizy

Abstract:

Yogyakarta is one of the provinces in Indonesia that often get a power outage because of high load electrical consumption. The authors mapped the electrical energy consumption [GWh] for the province of Yogyakarta in 2014-2025 using LEAP (Long-range Energy Alternatives Planning system) software. This paper use BAU (Business As Usual) scenario. BAU scenario in which the projection is based on the assumption that growth in electricity consumption will run as normally as before. The goal is to be able to see the electrical energy consumption in the household sector, industry , business, social, government office building, and street lighting. The data is the data projected statistical population and consumption data electricity [GWh] 2010, 2011, 2012 in Yogyakarta province.

Keywords: LEAP, energy consumption, Yogyakarta, BAU

Procedia PDF Downloads 561
8682 Power Reduction of Hall-Effect Sensor by Pulse Width Modulation of Spinning-Current

Authors: Hyungil Chae

Abstract:

This work presents a method to reduce spinning current of a Hall-effect sensor for low-power magnetic sensor applications. Spinning current of a Hall-effect sensor changes the direction of bias current periodically and can separate signals from DC-offset. The bias current is proportional to the sensor sensitivity but also increases the power consumption. To achieve both high sensitivity and low power consumption, the bias current can be pulse-width modulated. When the bias current duration Tb is reduced by a factor of N compared to the spinning current period of Tₛ/2, the total power consumption can be saved by N times. N can be large as long as the Hall-effect sensor settles down within Tb. The proposed scheme is implemented and simulated in a 0.18um CMOS process, and the power saving factor is 9.6 when N is 10. Acknowledgements: This work was supported by Institute for Information & communications Technology Promotion (IITP) grant funded by the Korea government (MSIP) (20160001360022003, Development of Hall Semi-conductor for Smart Car and Device).

Keywords: chopper stabilization, Hall-effect sensor, pulse width modulation, spinning current

Procedia PDF Downloads 446
8681 Energy Efficient Resource Allocation and Scheduling in Cloud Computing Platform

Authors: Shuen-Tai Wang, Ying-Chuan Chen, Yu-Ching Lin

Abstract:

There has been renewal of interest in the relation between Green IT and cloud computing in recent years. Cloud computing has to be a highly elastic environment which provides stable services to users. The growing use of cloud computing facilities has caused marked energy consumption, putting negative pressure on electricity cost of computing center or data center. Each year more and more network devices, storages and computers are purchased and put to use, but it is not just the number of computers that is driving energy consumption upward. We could foresee that the power consumption of cloud computing facilities will double, triple, or even more in the next decade. This paper aims at resource allocation and scheduling technologies that are short of or have not well developed yet to reduce energy utilization in cloud computing platform. In particular, our approach relies on recalling services dynamically onto appropriate amount of the machines according to user’s requirement and temporarily shutting down the machines after finish in order to conserve energy. We present initial work on integration of resource and power management system that focuses on reducing power consumption such that they suffice for meeting the minimizing quality of service required by the cloud computing platform.

Keywords: cloud computing, energy utilization, power consumption, resource allocation

Procedia PDF Downloads 298
8680 Low Power CNFET SRAM Design

Authors: Pejman Hosseiniun, Rose Shayeghi, Iman Rahbari, Mohamad Reza Kalhor

Abstract:

CNFET has emerged as an alternative material to silicon for high performance, high stability and low power SRAM design in recent years. SRAM functions as cache memory in computers and many portable devices. In this paper, a new SRAM cell design based on CNFET technology is proposed. The proposed SRAM cell design for CNFET is compared with SRAM cell designs implemented with the conventional CMOS and FinFET in terms of speed, power consumption, stability, and leakage current. The HSPICE simulation and analysis show that the dynamic power consumption of the proposed 8T CNFET SRAM cell’s is reduced about 48% and the SNM is widened up to 56% compared to the conventional CMOS SRAM structure at the expense of 2% leakage power and 3% write delay increase.

Keywords: SRAM cell, CNFET, low power, HSPICE

Procedia PDF Downloads 364
8679 Influence of Driving Strategy on Power and Fuel Consumption of Lightweight PEM Fuel Cell Vehicle Powertrain

Authors: Suhadiyana Hanapi, Alhassan Salami Tijani, W. A. N Wan Mohamed

Abstract:

In this paper, a prototype PEM fuel cell vehicle integrated with a 1 kW air-blowing proton exchange membrane fuel cell (PEMFC) stack as a main power sources has been developed for a lightweight cruising vehicle. The test vehicle is equipped with a PEM fuel cell system that provides electric power to a brushed DC motor. This vehicle was designed to compete with industrial lightweight vehicle with the target of consuming least amount of energy and high performance. Individual variations in driving style have a significant impact on vehicle energy efficiency and it is well established from the literature. The primary aim of this study was to assesses the power and fuel consumption of a hydrogen fuel cell vehicle operating at three difference driving technique (i.e. 25 km/h constant speed, 22-28 km/h speed range, 20-30 km/h speed range). The goal is to develop the best driving strategy to maximize performance and minimize fuel consumption for the vehicle system. The relationship between power demand and hydrogen consumption has also been discussed. All the techniques can be evaluated and compared on broadly similar terms. Automatic intelligent controller for driving prototype fuel cell vehicle on different obstacle while maintaining all systems at maximum efficiency was used. The result showed that 25 km/h constant speed was identified for optimal driving with less fuel consumption.

Keywords: prototype fuel cell electric vehicles, energy efficient, control/driving technique, fuel economy

Procedia PDF Downloads 403