Search results for: silicon nanowires
Commenced in January 2007
Frequency: Monthly
Edition: International
Paper Count: 567

Search results for: silicon nanowires

477 Analysis of 3 dB Directional Coupler Based On Silicon-On-Insulator (SOI) Large Cross-Section Rib Waveguide

Authors: Nurdiani Zamhari, Abang Annuar Ehsan

Abstract:

The 3 dB directional coupler is designed by using silicon-on-insulator (SOI) large cross-section and simulate by Beam Propagation Method at the communication wavelength of 1.55 µm and 1.48 µm. The geometry is shaped with rib height (H) of 6 µm and varied in step factor (r) which is 0.5, 0.6, 0.7 and 0.8. The wave guide spacing is also fixed to 5 µm and the slab width is symmetrical. In general, the 3 dB coupling lengths for four different cross-sections are several millimetre long. The 1.48 of wavelength give the longer coupling length if compare to 1.55 at the same step factor (r). Besides, the low loss propagation is achieved with less than 2 % of propagation loss.

Keywords: 3 dB directional couplers, silicon-on-insulator, symmetrical rib waveguide, OptiBPM 9

Procedia PDF Downloads 485
476 Oxalate Method for Assessing the Electrochemical Surface Area for Ni-Based Nanoelectrodes Used in Formaldehyde Sensing Applications

Authors: S. Trafela, X. Xua, K. Zuzek Rozmana

Abstract:

In this study, we used an accurate and precise method to measure the electrochemically active surface areas (Aecsa) of nickel electrodes. Calculated Aecsa is really important for the evaluation of an electro-catalyst’s activity in electrochemical reaction of different organic compounds. The method involves the electrochemical formation of Ni(OH)₂ and NiOOH in the presence of adsorbed oxalate in alkaline media. The studies were carried out using cyclic voltammetry with polycrystalline nickel as a reference material and electrodeposited nickel nanowires, homogeneous and heterogeneous nickel films. From cyclic voltammograms, the charge (Q) values for the formation of Ni(OH)₂ and NiOOH surface oxides were calculated under various conditions. At sufficiently fast potential scan rates (200 mV s⁻¹), the adsorbed oxalate limits the growth of the surface hydroxides to a monolayer. Although the Ni(OH)₂/NiOOH oxidation peak overlaps with the oxygen evolution reaction, in the reverse scan, the NiOOH/ Ni(OH)₂ reduction peak is well-separated from other electrochemical processes and can be easily integrated. The values of these integrals were used to correlate experimentally measured charge density with an electrochemically active surface layer. The Aecsa of the nickel nanowires, homogeneous and heterogeneous nickel films were calculated to be Aecsa-NiNWs = 4.2066 ± 0.0472 cm², Aecsa-homNi = 1.7175 ± 0.0503 cm² and Aecsa-hetNi = 2.1862 ± 0.0154 cm². These valuable results were expanded and used in electrochemical studies of formaldehyde oxidation. As mentioned nickel nanowires, heterogeneous and homogeneous nickel films were used as simple and efficient sensor for formaldehyde detection. For this purpose, electrodeposited nickel electrodes were modified in 0.1 mol L⁻¹ solution of KOH in order to expect electrochemical activity towards formaldehyde. The investigation of the electrochemical behavior of formaldehyde oxidation in 0.1 mol L⁻¹ NaOH solution at the surface of modified nickel nanowires, homogeneous and heterogeneous nickel films were carried out by means of electrochemical techniques such as cyclic voltammetric and chronoamperometric methods. From investigations of effect of different formaldehyde concentrations (from 0.001 to 0.1 mol L⁻¹) on electrochemical signal - current we provided catalysis mechanism of formaldehyde oxidation, detection limit and sensitivity of nickel electrodes. The results indicated that nickel electrodes participate directly in the electrocatalytic oxidation of formaldehyde. In the overall reaction, formaldehyde in alkaline aqueous solution exists predominantly in form of CH₂(OH)O⁻, which is oxidized to CH₂(O)O⁻. Taking into account the determined (Aecsa) values we have been able to calculate the sensitivities: 7 mA mol L⁻¹ cm⁻² for nickel nanowires, 3.5 mA mol L⁻¹ cm⁻² for heterogeneous nickel film and 2 mA mol L⁻¹ cm⁻² for heterogeneous nickel film. The detection limit was 0.2 mM for nickel nanowires, 0.5 mM for porous Ni film and 0.8 mM for homogeneous Ni film. All of these results make nickel electrodes capable for further applications.

Keywords: electrochemically active surface areas, nickel electrodes, formaldehyde, electrocatalytic oxidation

Procedia PDF Downloads 133
475 Nano-Texturing of Single Crystalline Silicon via Cu-Catalyzed Chemical Etching

Authors: A. A. Abaker Omer, H. B. Mohamed Balh, W. Liu, A. Abas, J. Yu, S. Li, W. Ma, W. El Kolaly, Y. Y. Ahmed Abuker

Abstract:

We have discovered an important technical solution that could make new approaches in the processing of wet silicon etching, especially in the production of photovoltaic cells. During its inferior light-trapping and structural properties, the inverted pyramid structure outperforms the conventional pyramid textures and black silicone. The traditional pyramid textures and black silicon can only be accomplished with more advanced lithography, laser processing, etc. Importantly, our data demonstrate the feasibility of an inverted pyramidal structure of silicon via one-step Cu-catalyzed chemical etching (CCCE) in Cu (NO3)2/HF/H2O2/H2O solutions. The effects of etching time and reaction temperature on surface geometry and light trapping were systematically investigated. The conclusion shows that the inverted pyramid structure has ultra-low reflectivity of ~4.2% in the wavelength of 300~1000 nm; introduce of Cu particles can significantly accelerate the dissolution of the silicon wafer. The etching and the inverted pyramid structure formation mechanism are discussed. Inverted pyramid structure with outstanding anti-reflectivity includes useful applications throughout the manufacture of semi-conductive industry-compatible solar cells, and can have significant impacts on industry colleagues and populations.

Keywords: Cu-catalyzed chemical etching, inverted pyramid nanostructured, reflection, solar cells

Procedia PDF Downloads 130
474 Optimization of Thermopile Sensor Performance of Polycrystalline Silicon Film

Authors: Li Long, Thomas Ortlepp

Abstract:

A theoretical model for the optimization of thermopile sensor performance is developed for thermoelectric-based infrared radiation detection. It is shown that the performance of polycrystalline silicon film thermopile sensor can be optimized according to the thermoelectric quality factor, sensor layer structure factor, and sensor layout geometrical form factor. Based on the properties of electrons, phonons, grain boundaries, and their interactions, the thermoelectric quality factor of polycrystalline silicon is analyzed with the relaxation time approximation of the Boltzmann transport equation. The model includes the effect of grain structure, grain boundary trap properties, and doping concentration. The layer structure factor is analyzed with respect to the infrared absorption coefficient. The optimization of layout design is characterized by the form factor, which is calculated for different sensor designs. A double-layer polycrystalline silicon thermopile infrared sensor on a suspended membrane has been designed and fabricated with a CMOS-compatible process. The theoretical approach is confirmed by measurement results.

Keywords: polycrystalline silicon, relaxation time approximation, specific detectivity, thermal conductivity, thermopile infrared sensor

Procedia PDF Downloads 103
473 Numerical Modelling of Surface Waves Generated by Low Frequency Electromagnetic Field for Silicon Refinement Process

Authors: V. Geza, J. Vencels, G. Zageris, S. Pavlovs

Abstract:

One of the most perspective methods to produce SoG-Si is refinement via metallurgical route. The most critical part of this route is refinement from boron and phosphorus. Therefore, a new approach could address this problem. We propose an approach of creating surface waves on silicon melt’s surface in order to enlarge its area and accelerate removal of boron via chemical reactions and evaporation of phosphorus. A two dimensional numerical model is created which includes coupling of electromagnetic and fluid dynamic simulations with free surface dynamics. First results show behaviour similar to experimental results from literature.

Keywords: numerical modelling, silicon refinement, surface waves, VOF method

Procedia PDF Downloads 226
472 Fabrication of High-Aspect Ratio Vertical Silicon Nanowire Electrode Arrays for Brain-Machine Interfaces

Authors: Su Yin Chiam, Zhipeng Ding, Guang Yang, Danny Jian Hang Tng, Peiyi Song, Geok Ing Ng, Ken-Tye Yong, Qing Xin Zhang

Abstract:

Brain-machine interfaces (BMI) is a ground rich of exploration opportunities where manipulation of neural activity are used for interconnect with myriad form of external devices. These research and intensive development were evolved into various areas from medical field, gaming and entertainment industry till safety and security field. The technology were extended for neurological disorders therapy such as obsessive compulsive disorder and Parkinson’s disease by introducing current pulses to specific region of the brain. Nonetheless, the work to develop a real-time observing, recording and altering of neural signal brain-machine interfaces system will require a significant amount of effort to overcome the obstacles in improving this system without delay in response. To date, feature size of interface devices and the density of the electrode population remain as a limitation in achieving seamless performance on BMI. Currently, the size of the BMI devices is ranging from 10 to 100 microns in terms of electrodes’ diameters. Henceforth, to accommodate the single cell level precise monitoring, smaller and denser Nano-scaled nanowire electrode arrays are vital in fabrication. In this paper, we would like to showcase the fabrication of high aspect ratio of vertical silicon nanowire electrodes arrays using microelectromechanical system (MEMS) method. Nanofabrication of the nanowire electrodes involves in deep reactive ion etching, thermal oxide thinning, electron-beam lithography patterning, sputtering of metal targets and bottom anti-reflection coating (BARC) etch. Metallization on the nanowire electrode tip is a prominent process to optimize the nanowire electrical conductivity and this step remains a challenge during fabrication. Metal electrodes were lithographically defined and yet these metal contacts outline a size scale that is larger than nanometer-scale building blocks hence further limiting potential advantages. Therefore, we present an integrated contact solution that overcomes this size constraint through self-aligned Nickel silicidation process on the tip of vertical silicon nanowire electrodes. A 4 x 4 array of vertical silicon nanowires electrodes with the diameter of 290nm and height of 3µm has been successfully fabricated.

Keywords: brain-machine interfaces, microelectromechanical systems (MEMS), nanowire, nickel silicide

Procedia PDF Downloads 404
471 The Structural and Electrical Properties of Cadmium Implanted Silicon Diodes at Room Temperature

Authors: J. O. Bodunrin, S. J. Moloi

Abstract:

This study reports on the x-ray crystallography (XRD) structure of cadmium-implanted p-type silicon, the current-voltage (I-V) and capacitance-voltage (C-V) characteristics of unimplanted and cadmium-implanted silicon-based diodes. Cadmium was implanted at the energy of 160 KeV to the fluence of 10¹⁵ ion/cm². The results obtained indicate that the diodes were well fabricated, and the introduction of cadmium results in a change in behavior of the diodes from normal exponential to ohmic I-V behavior. The C-V measurements, on the other hand, show that the measured capacitance increased after cadmium doping due to the injected charge carriers. The doping density of the p-Si material and the device's Schottky barrier height was extracted, and the doping density of the undoped p-Si material increased after cadmium doping while the Schottky barrier height reduced. In general, the results obtained here are similar to those obtained on the diodes fabricated on radiation-hard material, indicating that cadmium is a promising metal dopant to improve the radiation hardness of silicon. Thus, this study would assist in adding possible options to improve the radiation hardness of silicon to be used in high energy physics experiments.

Keywords: cadmium, capacitance-voltage, current-voltage, high energy physics experiment, x-ray crystallography, XRD

Procedia PDF Downloads 110
470 Controlled Growth of Charge Transfer Complex Nanowire by Physical Vapor Deposition Method Using Dielectrophoretic Force

Authors: Rabaya Basori, Arup K. Raychaudhuri

Abstract:

In recent years, a variety of semiconductor nanowires (NWs) has been synthesized and used as basic building blocks for the development of electronic and optoelectronic nanodevices. Dielectrophoresis (DEP) has been widely investigated as a scalable technique to trap and manipulate polarizable objects. This includes biological cells, nanoparticles, DNA molecules, organic or inorganic NWs and proteins using electric field gradients. In this article, we have used DEP force to localize nanowire growth by physical vapor deposition (PVD) method as well as control of NW diameter on field assisted growth of the NWs of CuTCNQ (Cu-tetracyanoquinodimethane); a metal-organic charge transfer complex material which is well known of resistive switching. We report a versatile analysis platform, based on a set of nanogap electrodes, for the controlled growth of nanowire. Non-uniform electric field and dielectrophoretic force is created in between two metal electrodes, patterned by electron beam lithography process. Suspended CuTCNQ nanowires have been grown laterally between two electrodes in the vicinity of electric field and dielectric force by applying external bias. Growth and diameter dependence of the nanowires on external bias has been investigated in the framework of these two forces by COMSOL Multiphysics simulation. This report will help successful in-situ nanodevice fabrication with constrained number of NW and diameter without any post treatment.

Keywords: nanowire, dielectrophoretic force, confined growth, controlled diameter, comsol multiphysics simulation

Procedia PDF Downloads 160
469 Low Trigger Voltage Silicon Controlled Rectifier Stacking Structure with High Holding Voltage for High Voltage Applications

Authors: Kyoung-Il Do, Jun-Geol Park, Hee-Guk Chae, Jeong-Yun Seo, Yong-Seo Koo

Abstract:

A SCR stacking structure is proposed to have improved Latch-up immunity. In comparison with conventional SCR (Silicon Controlled Rectifier), the proposed Electrostatic Discharge (ESD) protection circuit has a lower trigger characteristic by using the LVTSCR (Low Voltage Trigger) structure. Also the proposed ESD protection circuit has improved Holding Voltage Characteristic by using N-stack technique. These characteristics enable to have latch-up immunity in operating conditions. The simulations are accomplished by using the Synopsys TCAD. It has a trigger voltage of 8.9V and a holding voltage of 1.8V in a single structure. And when applying the stack technique, 2-stack has the holding voltage of 3.8V and 3-stack has the holding voltage of 5.1 V.

Keywords: electrostatic discharge (ESD), low voltage trigger silicon controlled rectifier (LVTSCR), MVTSCR, power clamp, silicon controlled rectifier (SCR), latch-up

Procedia PDF Downloads 414
468 Influence of Boron and Germanium Doping on Physical-Mechanical Properties of Monocrystalline Silicon

Authors: Ia Kurashvili, Giorgi Darsavelidze, Giorgi Chubinidze, Marina Kadaria

Abstract:

Boron-doped Czochralski (CZ) silicon of p-type, widely used in the photovoltaic industry is suffering from the light-induced-degradation (LID) of bulk electrophysical characteristics. This is caused by specific metastable B-O defects, which are characterized by strong recombination activity. In this regard, it is actual to suppress B-O defects in CZ silicon. One of the methods is doping of silicon by different isovalent elements (Ge, C, Sn). The present work deals with the investigations of the influence of germanium doping on the internal friction and shear modulus amplitude dependences in the temperature interval of 600-800⁰C and 0.5-5 Hz frequency range in boron-containing monocrystalline silicon. Experimental specimens were grown by Czochralski method (CZ) in [111] direction. Four different specimens were investigated: Si+0,5at%Ge:B (5.1015cm-3), Si+0,5at%Ge:B (1.1019cm-3), Si+2at%Ge:B (5.1015cm-3) and Si+2at%Ge:B (1.1019cm-3). Increasing tendency of dislocation density and inhomogeneous distribution in silicon crystals with high content of boron and germanium were revealed by metallographic studies on the optical microscope of NMM-80RF/TRF. Weak increase of current carriers-holes concentration and slight decrease of their mobility were observed by Van der Pauw method on Ecopia HMS-3000 device. Non-monotonous changes of dislocation origin defects mobility and microplastic deformation characteristics influenced by measuring temperatures and boron and germanium concentrations were revealed. Possible mechanisms of changes of mechanical characteristics in Si-Ge experimental specimens were discussed.

Keywords: dislocation, internal friction, microplastic deformation, shear modulus

Procedia PDF Downloads 214
467 Molecular Simulation Study on the Catalytic Role of Silicon-Doped Graphene in Carbon Dioxide Hydrogenation

Authors: Wilmer Esteban Vallejo Narváez, Serguei Fomine

Abstract:

The theoretical investigation of Si-doped graphene nanoflakes (NFs) was conducted to understand their catalytic impact on CO₂ reduction using molecular hydrogen at the Density Functional Theory (DFT) level. The introduction of silicon by substituting carbon induces defects in the NF structure, resulting in a polyradical ground state. This silicon defect significantly boosts reactivity towards substrates, making Si-doped graphene NFs more catalytically active in CO₂ reduction to formic acid compared to silicene. Notably, Si-doped graphene demonstrates a preference for formic acid over carbon monoxide, mirroring the behavior of silicene. Furthermore, investigations into formic acid-to-formaldehyde and formaldehyde-to-methanol conversions reveal instances where Si-doped graphene outperforms silicene in terms of efficacy. In the final reduction step, the methanol-to-methane reaction unfolds in four stages, with the rate-determining step involving hydrogen transfer from silicon to methyl. Notably, the activation energy for this step is lower in Si-doped graphene compared to silicene. Consequently, Si-doped graphene NFs emerge as superior catalysts with lower activation energies overall. Remarkably, throughout these catalytic processes, Si-doped graphene maintains environmental stability, further highlighting its enhanced catalytic activity without compromising graphene's inherent stability.

Keywords: silicon-doped graphene, CO₂ reduction, DFT, catalysis

Procedia PDF Downloads 18
466 Photoluminescence Study of Erbium-Mixed Alkylated Silicon Nanocrystals

Authors: Khamael M. Abualnaja, Lidija Šiller, Benjamin R. Horrocks

Abstract:

Alkylated silicon nanocrystals (C11-SiNCs) were prepared successfully by galvanostatic etching of p-Si(100) wafers followed by a thermal hydrosilation reaction of 1-undecene in refluxing toluene in order to extract C11-SiNCs from porous silicon. Erbium trichloride was added to alkylated SiNCs using a simple mixing chemical route. To the best of our knowledge, this is the first investigation on mixing SiNCs with erbium ions (III) by this chemical method. The chemical characterization of C11-SiNCs and their mixtures with Er3+ (Er/C11-SiNCs) were carried out using X-ray photoemission spectroscopy (XPS). The optical properties of C11-SiNCs and their mixtures with Er3+ were investigated using Raman spectroscopy and photoluminescence (PL). The erbium-mixed alkylated SiNCs shows an orange PL emission peak at around 595 nm that originates from radiative recombination of Si. Er/C11-SiNCs mixture also exhibits a weak PL emission peak at 1536 nm that originates from the intra-4f transition in erbium ions (Er3+). The PL peak of Si in Er/C11-SiNCs mixture is increased in the intensity up to three times as compared to pure C11-SiNCs. The collected data suggest that this chemical mixing route leads instead to a transfer of energy from erbium ions to alkylated SiNCs.

Keywords: photoluminescence, silicon nanocrystals, erbium, Raman spectroscopy

Procedia PDF Downloads 336
465 A Dissolution Mechanism of the Silicon Carbide in HF/K₂Cr₂O₇ Solutions

Authors: Karima Bourenane, Aissa Keffous

Abstract:

In this paper, we present an experimental method on the etching reaction of p-type 6H-SiC, etching that was carried out in HF/K₂Cr₂O₇ solutions. The morphology of the etched surface was examined with varying K₂Cr₂O₇ concentrations, etching time and temperature solution. The surfaces of the etched samples were analyzed using Scanning electron microscopy (SEM), Fourier transform infrared spectroscopy (FT-IR) and Photoluminescence. The surface morphology of samples etched in HF/K₂Cr₂O₇ is shown to depend on the solution composition and bath temperature. The investigation of the HF/K₂Cr₂O₇ solutions on 6H-SiC surface shows that as K₂Cr₂O₇ concentration increases, the etch rate increases to reach a maximum value at about 0.75 M and then decreases. Similar behavior has been observed when the temperature of the solution is increased. The maximum etch rate is found for 80 °C. Taking into account the result, a polishing etching solution of 6H-SiC has been developed. In addition, the result is very interesting when, to date, no chemical polishing solution has been developed on silicon carbide (SiC). Finally, we have proposed a dissolution mechanism of the silicon carbide in HF/K₂Cr₂O₇ solutions.

Keywords: silicon carbide, dissolution, Chemical etching, mechanism

Procedia PDF Downloads 12
464 Effect of Silicon in Mitigating Cadmium Toxicity in Maize

Authors: Ghulam Hasan Abbasi, Moazzam Jamil, M. Anwar-Ul-Haq

Abstract:

Heavy metals are significant pollutants in environment and their toxicity is a problem for survival of living things while Silicon (Si) is one of the most ubiquitous macroelements, performing an essential function in healing plants in response to environmental stresses. A hydroponic experiment was conducted to investigate the role of exogenous application of silicon under cadmium stress in six different maize hybrids with five treatments comprising of control, 7.5 µM Cd + 5 mM Si, 7.5 µM Cd + 10 mM Si, 15 µM Cd + 5 mM Si and 15 µM Cd + 10 mM Si. Results revealed that treatments of plants with 10mM Si application under both 7.5µM Cd and 15 µM Cd stress resulted in maximum improvement in plant morphological attributes (root and shoot length, root and shoot fresh and dry weight, leaf area and relative water contents) and antioxidant enzymes (POD and CAT) relative to 5 mM Si application in all maize hybrids. Results regarding Cd concentrations showed that Cd was more retained in roots followed by shoots and then leaves and maximum reduction in Cd uptake was observed at 10mM Si application. Maize hybrid 6525 showed maximum growth and least concentration of Cd whereas maize hybrid 1543 showed the minimum growth and maximum Cd concentration among all maize hybrids.

Keywords: antioxidant, cadmium, maize, silicon

Procedia PDF Downloads 485
463 To Study the Effect of Optic Fibre Laser Cladding of Cast Iron with Silicon Carbide on Wear Rate

Authors: Kshitij Sawke, Pradnyavant Kamble, Shrikant Patil

Abstract:

The study investigates the effect on wear rate of laser clad of cast iron with silicon carbide. Metal components fail their desired use because they wear, which causes them to lose their functionality. The laser has been used as a heating source to create a melt pool over the surface of cast iron, and then a layer of hard silicon carbide is deposited. Various combinations of power and feed rate of laser have experimented. A suitable range of laser processing parameters was identified. Wear resistance and wear rate properties were evaluated and the result showed that the wear resistance of the laser treated samples was exceptional to that of the untreated samples.

Keywords: laser clad, processing parameters, wear rate, wear resistance

Procedia PDF Downloads 226
462 Lanthanum Fluoride with Embedded Silicon Nanocrystals: A Novel Material for Future Electronic Devices

Authors: Golam Saklayen, Sheikh Rashel al Ahmed, Ferdous Rahman, Ismail Abu Bakar

Abstract:

Investigation on Lanthanum Fluoride LaF3 layer embedding Silicon Nanocrystals (Si-NCs) fabricated using a novel one-step chemical method has been reported in this presentation. Application of this material has been tested for low-voltage operating non-volatile memory and Schottkey-junction solar cell. Colloidal solution of Si-NCs in hydrofluoric acid (HF) was prepared from meso-porous silicon by ultrasonic vibration (sonication). This solution prevents the Si-NCs to be oxidized. On a silicon (Si) substrate, LaCl3 solution in HCl is allowed to react with the colloidal solution of prepared Si-NCs. Since this solution contains HF, LaCl3 reacts with HF and produces LaF3 crystals that deposits on the silicon substrate as a layer embedding Si-NCs. This a novel single step chemical way of depositing LaF3 insulating layer embedding Si-NCs. The X-Ray diffraction of the deposited layer shows a polycrystalline LaF3 deposition on silicon. A non-stoichiometric LaF3 layer embedding Si-NCs was found by EDX analysis. The presence of Si-NCs was confirmed by SEM. FTIR spectroscopy of the deposited LaF3 powder also confirmed the presence of Si-NCs. The size of Si-NCs was found to be inversely proportional to the ultrasonic power. After depositing proper contacts on the back of Si and LaF3, the devices have been tested as a non-volatile memory and solar cell. A memory window of 525 mV was obtained at a programming and erasing bias of 2V. The LaF3 films with Si NCs showed strong absorption and was also found to decrease optical transmittance than pure LaF3 film of same thickness. The I-V characteristics of the films showed a dependency on the incident light intensity where current changed under various light illumination. Experimental results show a lot of promise for Si-NCs-embedded LaF3 layer to be used as an insulating layer in MIS devices as well as an photoactive material in Schottkey junction solar cells.

Keywords: silicon nanocrystals (Si NCs), LaF3, colloidal solution, Schottky junction solar cell

Procedia PDF Downloads 362
461 Softener Washes Affecting the Shrinkage and Appearance of Knitted Garments

Authors: Ezza Nasir, Babar Ramzan

Abstract:

Silicon washes on altered knitted fabrics will provide diverse shrinkage trends. The expectation on shrinkage for various apparel products are also changed. However, the effect of shrinkage in garment is still ambiguous. As a result, analysis of shrinkage after different concentrations of silicon washes can provide a more realistic study. The purpose of this study is to analyze the shrinkage with commercial sewing threads in knitted fabric. Study focuses on the effect of different washes on garment measurement and to study the effect of washes on fabric shrinkage. Four different types of knitted fabric were sewn with same length and width measurements. To study the effect of softener washes on shrinkage of garment through subjective ranking, there were critical dimensions for measurements done on body length and width garment appearance and shrinkage.

Keywords: shrinkage, dimensions, knitted fabric, silicon

Procedia PDF Downloads 446
460 Generation of Charged Nanoparticles in the Gas Phase and their Contribution to Deposition of GaN Films and Nanostructures during Atmospheric Pressure Chemical Vapor Deposition

Authors: Jin-Woo Park, Sung-Soo Lee, Nong-Moon Hwang

Abstract:

The generation of charged nanoparticles in the gas phase during the Chemical Vapor Deposition (CVD) process has been frequently reported with their subsequent deposition into films and nanostructures in many systems such as carbon, silicon and zinc oxide. The microstructure evolution of films and nanostructures is closely related with the size distribution of charged nanoparticles. To confirm the generation of charged nanoparticles during GaN, the generation of GaN charged nanoparticles was examined in an atmospheric pressure CVD process using a Differential Mobility Analyser (DMA) combined with a Faraday Cup Electrometer (FCE). It was confirmed that GaN charged nanoparticles were generated under the condition where GaN nanostructures were synthesized on the bare and Au-coated Si substrates. In addition, the deposition behaviour depends strongly on the charge transfer rate of metal substrates. On the metal substrates of a lower CTR such as Mo, the deposition rate of GaN was much lower than on those of a higher CTR such as Fe. GaN nanowires tend to grow on the substrates of a lower CTR whereas GaN thin films tend to be deposited on the substrates of a higher CTR.

Keywords: chemical vapour deposition, charged cluster model, generation of charged nanoparticles, deposition behaviour, nanostructures, gan, charged transfer rate

Procedia PDF Downloads 401
459 Synthesis of Pd@ Cu Core−Shell Nanowires by Galvanic Displacement of Cu by Pd²⁺ Ions as a Modified Glassy Carbon Electrode for the Simultaneous Determination of Dihydroxybenzene Isomers Speciation

Authors: Majid Farsadrouh Rashti, Parisa Jahani, Amir Shafiee, Mehrdad Mofidi

Abstract:

The dihydroxybenzene isomers, hydroquinone (HQ), catechol (CC) and resorcinol (RS) have been widely recognized as important environmental pollutants due to their toxicity and low degradability in the ecological environment. Speciation of HQ, CC and RS is very important for environmental analysis because they co-exist of these isomers in environmental samples and are too difficult to degrade as an environmental contaminant with high toxicity. There are many analytical methods have been reported for detecting these isomers, such as spectrophotometry, fluorescence, High-performance liquid chromatography (HPLC) and electrochemical methods. These methods have attractive advantages such as simple and fast response, low maintenance costs, wide linear analysis range, high efficiency, excellent selectivity and high sensitivity. A novel modified glassy carbon electrode (GCE) with Pd@ Cu/CNTs core−shell nanowires for the simultaneous determination of hydroquinone (HQ), catechol (CC) and resorcinol (RS) is described. A detailed investigation by field emission scanning electron microscopy and electrochemistry was performed in order to elucidate the preparation process and properties of the GCE/ Pd/CuNWs-CNTs. The electrochemical response characteristic of the modified GPE/LFOR toward HQ, CC and RS were investigated by cyclic voltammetry, differential pulse voltammetry (DPV) and Chronoamperometry. Under optimum conditions, the calibrations curves were linear up to 228 µM for each with detection limits of 0.4, 0.6 and 0.8 µM for HQ, CC and RS, respectively. The diffusion coefficient for the oxidation of HQ, CC and RS at the modified electrode was calculated as 6.5×10⁻⁵, 1.6 ×10⁻⁵ and 8.5 ×10⁻⁵ cm² s⁻¹, respectively. DPV was used for the simultaneous determination of HQ, CC and RS at the modified electrode and the relative standard deviations were 2.1%, 1.9% and 1.7% for HQ, CC and RS, respectively. Moreover, GCE/Pd/CuNWs-CNTs was successfully used for determination of HQ, CC and RS in real samples.

Keywords: dihydroxybenzene isomers, galvanized copper nanowires, electrochemical sensor, Palladium, speciation

Procedia PDF Downloads 106
458 Modeling and Characterization of the SiC Single Crystal Growth Process

Authors: T. Wejrzanowski, M. Grybczuk, E. Tymicki, K. J. Kurzydlowski

Abstract:

In the present study numerical simulations silicon carbide single crystal growth process in Physical Vapor Transport reactor are addressed. Silicon Carbide is a perspective material for many applications in modern electronics. One of the main challenges for wider applications of SiC is high price of high quality mono crystals. Improvement of silicon carbide manufacturing process has a significant influence on the product price. Better understanding of crystal growth allows for optimization of the process, and it can be achieved by numerical simulations. In this work Virtual Reactor software was used to simulate the process. Predicted geometrical properties of the final product and information about phenomena occurring inside process reactor were obtained. The latter is especially valuable because reactor chamber is inaccessible during the process due to high temperature inside the reactor (over 2000˚C). Obtained data was used for improvement of the process and reactor geometry. Resultant crystal quality was also predicted basing on crystallization front shape evolution and threading dislocation paths. Obtained results were confronted with experimental data and the results are in good agreement.

Keywords: Finite Volume Method, semiconductors, Physical Vapor Transport, silicon carbide

Procedia PDF Downloads 503
457 Studying the Effect of Silicon Substrate Intrinsic Carrier Concentration on Performance of ZnO/Si Solar Cells

Authors: Syed Sadique Anwer Askari, Mukul Kumar Das

Abstract:

Zinc Oxide (ZnO) solar cells have drawn great attention due to the enhanced efficiency and low-cost fabrication process. In this study, ZnO thin film is used as the active layer, hole blocking layer, antireflection coating (ARC) as well as transparent conductive oxide. To improve the conductivity of ZnO, top layer of ZnO is doped with aluminum, for top contact. Intrinsic carrier concentration of silicon substrate plays an important role in enhancing the power conversion efficiency (PCE) of ZnO/Si solar cell. With the increase of intrinsic carrier concentration PCE decreased due to increase in dark current in solar cell. At 80nm ZnO and 160µm Silicon substrate thickness, power conversion efficiency of 26.45% and 21.64% is achieved with intrinsic carrier concentration of 1x109/cm3, 1.4x1010/cm3 respectively.

Keywords: hetero-junction solar cell, solar cell, substrate intrinsic carrier concentration, ZnO/Si

Procedia PDF Downloads 560
456 Influence of Silicon Carbide Particle Size and Thermo-Mechanical Processing on Dimensional Stability of Al 2124SiC Nanocomposite

Authors: Mohamed M. Emara, Heba Ashraf

Abstract:

This study is to investigation the effect of silicon carbide (SiC) particle size and thermo-mechanical processing on dimensional stability of aluminum alloy 2124. Three combinations of SiC weight fractions are investigated, 2.5, 5, and 10 wt. % with different SiC particle sizes (25 μm, 5 μm, and 100nm) were produced using mechanical ball mill. The standard testing samples were fabricated using powder metallurgy technique. Both samples, prior and after extrusion, were heated from room temperature up to 400ºC in a dilatometer at different heating rates, that is, 10, 20, and 40ºC/min. The analysis showed that for all materials, there was an increase in length change as temperature increased and the temperature sensitivity of aluminum alloy decreased in the presence of both micro and nano-sized silicon carbide. For all conditions, nanocomposites showed better dimensional stability compared to conventional Al 2124/SiC composites. The after extrusion samples showed better thermal stability and less temperature sensitivity for the aluminum alloy for both micro and nano-sized silicon carbide.

Keywords: aluminum 2124 metal matrix composite, SiC nano-sized reinforcements, powder metallurgy, extrusion mechanical ball mill, dimensional stability

Procedia PDF Downloads 503
455 A Machining Method of Cross-Shape Nano Channel and Experiments for Silicon Substrate

Authors: Zone-Ching Lin, Hao-Yuan Jheng, Zih-Wun Jhang

Abstract:

The paper innovatively proposes using the concept of specific down force energy (SDFE) and AFM machine to establish a machining method of cross-shape nanochannel on single-crystal silicon substrate. As for machining a cross-shape nanochannel by AFM machine, the paper develop a method of machining cross-shape nanochannel groove at a fixed down force by using SDFE theory and combining the planned cutting path of cross-shape nanochannel up to 5th machining layer it finally achieves a cross-shape nanochannel at a cutting depth of around 20nm. Since there may be standing burr at the machined cross-shape nanochannel edge, the paper uses a smaller down force to cut the edge of the cross-shape nanochannel in order to lower the height of standing burr and converge the height of standing burr at the edge to below 0.54nm as set by the paper. Finally, the paper conducts experiments of machining cross-shape nanochannel groove on single-crystal silicon by AFM probe, and compares the simulation and experimental results. It is proved that this proposed machining method of cross-shape nanochannel is feasible.

Keywords: atomic force microscopy (AFM), cross-shape nanochannel, silicon substrate, specific down force energy (SDFE)

Procedia PDF Downloads 339
454 Atomic Layer Deposition of Metal Oxides on Si/C Materials for the Improved Cycling Stability of High-Capacity Lithium-Ion Batteries

Authors: Philipp Stehle, Dragoljub Vrankovic, Montaha Anjass

Abstract:

Due to its high availability and extremely high specific capacity, silicon (Si) is the most promising anode material for next generation lithium-ion batteries (LIBs). However, Si anodes are suffering from high volume changes during cycling causing unstable solid-electrolyte interface (SEI). One approach for mitigation of these effects is to embed Si particles into a carbon matrix to create silicon/carbon composites (Si/C). These typically show more stable electrochemical performance than bare silicon materials. Nevertheless, the same failure mechanisms mentioned earlier appear in a less pronounced form. In this work, we further improved the cycling performance of two commercially available Si/C materials by coating thin metal oxide films of different thicknesses on the powders via Atomic Layer Deposition (ALD). The coated powders were analyzed via ICP-OES and AFM measurements. Si/C-graphite anodes with automotive-relevant loadings (~3.5 mAh/cm2) were processed out of the materials and tested in half coin cells (HCCs) and full pouch cells (FPCs). During long-term cycling in FPCs, a significant improvement was observed for some of the ALD-coated materials. After 500 cycles, the capacity retention was already up to 10% higher compared to the pristine materials. Cycling of the FPCs continued until they reached a state of health (SOH) of 80%. By this point, up to the triple number of cycles were achieved by ALD-coated compared to pristine anodes. Post-mortem analysis via various methods was carried out to evaluate the differences in SEI formation and thicknesses.

Keywords: silicon anodes, li-ion batteries, atomic layer deposition, silicon-carbon composites, surface coatings

Procedia PDF Downloads 92
453 The Effect of Surface Modifiers on the Mechanical and Morphological Properties of Waste Silicon Carbide Filled High-Density Polyethylene

Authors: R. Dangtungee, A. Rattanapan, S. Siengchin

Abstract:

Waste silicon carbide (waste SiC) filled high-density polyethylene (HDPE) with and without surface modifiers were studied. Two types of surface modifiers namely; high-density polyethylene-grafted-maleic anhydride (HDPE-g-MA) and 3-aminopropyltriethoxysilane have been used in this study. The composites were produced using a two roll mill, extruder and shaped in a hydraulic compression molding machine. The mechanical properties of polymer composites such as flexural strength and modulus, impact strength, tensile strength, stiffness and hardness were investigated over a range of compositions. It was found that, flexural strength and modulus, tensile modulus and hardness increased, whereas impact strength and tensile strength decreased with the increasing in filler contents, compared to the neat HDPE. At similar filler content, the effect of both surface modifiers increased flexural modulus, impact strength, tensile strength and stiffness but reduced the flexural strength. Morphological investigation using SEM revealed that the improvement in mechanical properties was due to enhancement of the interfacial adhesion between waste SiC and HDPE.

Keywords: high-density polyethylene, HDPE-g-MA, mechanical properties, morphological properties, silicon carbide, waste silicon carbide

Procedia PDF Downloads 328
452 Inkjet Printed Silver Nanowire Network as Semi-Transparent Electrode for Organic Photovoltaic Devices

Authors: Donia Fredj, Marie Parmentier, Florence Archet, Olivier Margeat, Sadok Ben Dkhil, Jorg Ackerman

Abstract:

Transparent conductive electrodes (TCEs) or transparent electrodes (TEs) are a crucial part of many electronic and optoelectronic devices such as touch panels, liquid crystal displays (LCDs), organic light-emitting diodes (OLEDs), solar cells, and transparent heaters. The indium tin oxide (ITO) electrode is the most widely utilized transparent electrode due to its excellent optoelectrical properties. However, the drawbacks of ITO, such as the high cost of this material, scarcity of indium, and the fragile nature, limit the application in large-scale flexible electronic devices. Importantly, flexibility is becoming more and more attractive since flexible electrodes have the potential to open new applications which require transparent electrodes to be flexible, cheap, and compatible with large-scale manufacturing methods. So far, several materials as alternatives to ITO have been developed, including metal nanowires, conjugated polymers, carbon nanotubes, graphene, etc., which have been extensively investigated for use as flexible and low-cost electrodes. Among them, silver nanowires (AgNW) are one of the promising alternatives to ITO thanks to their excellent properties, high electrical conductivity as well as desirable light transmittance. In recent years, inkjet printing became a promising technique for large-scale printed flexible and stretchable electronics. However, inkjet printing of AgNWs still presents many challenges. In this study, a synthesis of stable AgNW that could compete with ITO was developed. This material was printed by inkjet technology directly on a flexible substrate. Additionally, we analyzed the surface microstructure, optical and electrical properties of the printed AgNW layers. Our further research focused on the study of all inkjet-printed organic modules with high efficiency.

Keywords: transparent electrodes, silver nanowires, inkjet printing, formulation of stable inks

Procedia PDF Downloads 188
451 Preparation of Silicon-Based Oxide Hollow Nanofibers Using Single-Nozzle Electrospinning

Authors: Juiwen Liang, Choliang Chung

Abstract:

In this study, the silicon-base oxide nanofibers with hollow structure were prepared using single-nozzle electrospinning and heat treatment. Firstly, precursor solution was prepared: the Polyvinylpyrrolidone (PVP) and Tetraethyl orthosilicate (TEOS) dissolved in ethanol and to make sure the concentration of solution in appropriate using single-nozzle electrospinning to produce the nanofibers. Secondly, control morphology of the electrostatic spinning nanofibers was conducted, and design the temperature profile to created hollow nanofibers, exploring the morphology and properties of nanofibers. The characterized of nanofibers, following instruments were used: Atomic force microscopy (AFM), Field Emission Scanning Electron Microscope (FE-SEM), Transmission electron microscopy (TEM), Photoluminescence (PL), X-ray Diffraction (XRD). The AFM was used to scan the nanofibers, and 3D Graphics were applied to explore the surface morphology of fibers. FE-SEM and TEM were used to explore the morphology and diameter of nanofibers and hollow nanofiber. The excitation and emission spectra explored by PL. Finally, XRD was used for identified crystallization of ceramic nanofibers. Using electrospinning technique followed by subsequent heat treatment, we have successfully prepared silicon-base oxide nanofibers with hollow structure. Thus, the microstructure and morphology of electrostatic spinning silicon-base oxide hollow nanofibers were explored. Major characteristics of the nanofiber in terms of crystalline, optical properties and crystal structure were identified.

Keywords: electrospinning, single-nozzle, hollow, nanofibers

Procedia PDF Downloads 325
450 Effect of Elevation and Wind Direction on Silicon Solar Panel Efficiency

Authors: Abdulrahman M. Homadi

Abstract:

As a great source of renewable energy, solar energy is considered to be one of the most important in the world, since it will be one of solutions cover the energy shortage in the future. Photovoltaic (PV) is the most popular and widely used among solar energy technologies. However, PV efficiency is fairly low and remains somewhat expensive. High temperature has a negative effect on PV efficiency and cooling system for these panels is vital, especially in warm weather conditions. This paper presents the results of a simulation study carried out on silicon solar cells to assess the effects of elevation on enhancing the efficiency of solar panels. The study included four different terrains. The study also took into account the direction of the wind hitting the solar panels. To ensure the simulation mimics reality, six silicon solar panels are designed in two columns and three rows, facing to the south at an angle of 30 o. The elevations are assumed to change from 10 meters to 200 meters. The results show that maximum increase in efficiency occurs when the wind comes from the north, hitting the back of the panels.

Keywords: solar panels, elevation, wind direction, efficiency

Procedia PDF Downloads 265
449 Numerical Design and Characterization of SiC Single Crystals Obtained with PVT Method

Authors: T. Wejrzanowski, M. Grybczuk, E. Tymicki, K. J. Kurzydlowski

Abstract:

In the present study, numerical simulations of heat and mass transfer in Physical Vapor Transport reactor during silicon carbide single crystal growth are addressed. Silicon carbide is a wide bandgap material with unique properties making it highly applicable for high power electronics applications. Because of high manufacturing costs improvements of SiC production process are required. In this study, numerical simulations were used as a tool of process optimization. Computer modeling allows for cost and time effective analysis of processes occurring during SiC single crystal growth and provides essential information needed for improvement of the process. Quantitative relationship between process conditions, such as temperature or pressure, and crystal growth rate and shape of crystallization front have been studied and verified using experimental data. Basing on modeling results, several process improvements were proposed and implemented.

Keywords: Finite Volume Method, semiconductors, Physica Vapor Transport, silicon carbide

Procedia PDF Downloads 471
448 Enhancing the Luminescence of Alkyl-Capped Silicon Quantum Dots by Using Metal Nanoparticles

Authors: Khamael M. Abualnaja, Lidija Šiller, Ben R. Horrocks

Abstract:

Metal enhanced luminescence of alkyl-capped silicon quantum dots (C11-SiQDs) was obtained by mixing C11-SiQDs with silver nanoparticles (AgNPs). C11-SiQDs have been synthesized by galvanostatic method of p-Si (100) wafers followed by a thermal hydrosilation reaction of 1-undecene in refluxing toluene in order to extract alkyl-capped silicon quantum dots from porous Si. The chemical characterization of C11-SiQDs was carried out using X-ray photoemission spectroscopy (XPS). C11-SiQDs have a crystalline structure with a diameter of 5 nm. Silver nanoparticles (AgNPs) of two different sizes were synthesized also using photochemical reduction of silver nitrate with sodium dodecyl sulphate. The synthesized Ag nanoparticles have a polycrystalline structure with an average particle diameter of 100 nm and 30 nm, respectively. A significant enhancement up to 10 and 4 times in the luminescence intensities was observed for AgNPs100/C11-SiQDs and AgNPs30/C11-SiQDs mixtures, respectively using 488 nm as an excitation source. The enhancement in luminescence intensities occurs as a result of the coupling between the excitation laser light and the plasmon bands of Ag nanoparticles; thus this intense field at Ag nanoparticles surface couples strongly to C11-SiQDs. The results suggest that the larger Ag nanoparticles i.e.100 nm caused an optimum enhancement in the luminescence intensity of C11-SiQDs which reflect the strong interaction between the localized surface plasmon resonance of AgNPs and the electric field forming a strong polarization near C11-SiQDs.

Keywords: silicon quantum dots, silver nanoparticles (AgNPs), luminescence, plasmon

Procedia PDF Downloads 338