Search results for: atomic layer deposition
Commenced in January 2007
Frequency: Monthly
Edition: International
Paper Count: 3662

Search results for: atomic layer deposition

3662 Development of Single Layer of WO3 on Large Spatial Resolution by Atomic Layer Deposition Technique

Authors: S. Zhuiykov, Zh. Hai, H. Xu, C. Xue

Abstract:

Unique and distinctive properties could be obtained on such two-dimensional (2D) semiconductor as tungsten trioxide (WO3) when the reduction from multi-layer to one fundamental layer thickness takes place. This transition without damaging single-layer on a large spatial resolution remained elusive until the atomic layer deposition (ALD) technique was utilized. Here we report the ALD-enabled atomic-layer-precision development of a single layer WO3 with thickness of 0.77±0.07 nm on a large spatial resolution by using (tBuN)2W(NMe2)2 as tungsten precursor and H2O as oxygen precursor, without affecting the underlying SiO2/Si substrate. Versatility of ALD is in tuning recipe in order to achieve the complete WO3 with desired number of WO3 layers including monolayer. Governed by self-limiting surface reactions, the ALD-enabled approach is versatile, scalable and applicable for a broader range of 2D semiconductors and various device applications.

Keywords: Atomic Layer Deposition (ALD), tungsten oxide, WO₃, two-dimensional semiconductors, single fundamental layer

Procedia PDF Downloads 208
3661 Conformal Noble Metal High-Entropy Alloy Nanofilms by Atomic Layer Deposition for Enhanced Hydrogen Evolution Reaction/Oxygen Evolution Reaction Electrocatalysis Applications

Authors: Jing Lin, Zou Yiming, Goei Ronn, Li Yun, Amanda Ong Jiamin, Alfred Tok Iing Yoong

Abstract:

High-entropy alloy (HEA) coatings comprise multiple (five or more) principal elements that give superior mechanical, electrical, and thermal properties. However, the current synthesis methods of HEA coating still face huge challenges in facile and controllable preparation, as well as conformal integration, which seriously restricts their potential applications. Herein, we report a controllable synthesis of conformal quinary HEA coating consisting of noble metals (Rh, Ru, Ir, Pt, and Pd) by using the atomic layer deposition (ALD) with a post-annealing approach. This approach realizes low temperature (below 200 °C), precise control (nanoscale), and conformal synthesis (over complex substrates) of HEA coating. Furthermore, the resulting quinary HEA coating shows promising potential as a platform for catalysis, exhibiting substantially enhanced electrocatalytic hydrogen evolution reaction (HER) and oxygen evolution reaction (OER) performances as compared to other noble metal-based structures such as single metal coating or multi-layered metal composites.

Keywords: high-entropy alloy, thin-film, catalysis, water splitting, atomic layer deposition

Procedia PDF Downloads 92
3660 Atomic Layer Deposition of MoO₃ on Mesoporous γ-Al₂O₃ Prepared by Sol-Gel Method as Efficient Catalyst for Oxidative Desulfurization of Refractory Dibenzothiophene Compound

Authors: S. Said, Asmaa A. Abdulrahman

Abstract:

MoOₓ/Al₂O₃ based catalyst has long been widely used as an active catalyst in oxidative desulfurization reaction due to its high stability under severe reaction conditions and high resistance to sulfur poisoning. In this context, 4 & 9wt.% MoO₃ grafted on mesoporous γ-Al₂O₃ has been synthesized using the modified atomic layer deposition (ALD) method. Another MoO₃/Al₂O₃ sample was prepared by the conventional wetness impregnation (IM) method, for comparison. The effect of the preparation methods on the metal-support interaction was evaluated using different characterization techniques, including X-ray diffraction, X-ray photoelectron spectroscopy (XPS), N₂-physisorption, transmission electron microscopy (TEM), H₂- temperature-programmed reduction and FT-IR. Oxidative desulfurization (ODS) reaction of the model fuel oil was used as a probe reaction to examine the catalytic efficiency of the prepared catalysts. ALD method led to samples with much better physicochemical properties than those of the prepared one via the impregnation method. However, the 9 wt.%MoO₃/Al₂O₃ (ALD) catalyst in the ODS reaction of model fuel oil shows enhanced catalytic performance with ~90%, which has been attributed to the more Mo⁶⁺ surface concentrations relative to Al³⁺ with large pore diameter and surface area. The kinetic study shows that the ODS of DBT follows a pseudo first-order rate reaction.

Keywords: mesoporous Al₂O₃, xMoO₃/Al₂O₃, atomic layer deposition, wetness impregnation, ODS, DBT

Procedia PDF Downloads 68
3659 Two-Dimensional WO₃ and TiO₂ Semiconductor Oxides Developed by Atomic Layer Deposition with Controllable Nano-Thickness on Wafer-Scale

Authors: S. Zhuiykov, Z. Wei

Abstract:

Conformal defect-free two-dimensional (2D) WO₃ and TiO₂ semiconductors have been developed by the atomic layer deposition (ALD) technique on wafer scale with unique approach to the thickness control with precision of ± 10% from the monolayer of nanomaterial (less than 1.0 nm thick) to the nano-layered 2D structures with thickness of ~3.0-7.0 nm. Developed 2D nanostructures exhibited unique, distinguishable properties at nanoscale compare to their thicker counterparts. Specifically, 2D TiO₂-Au bilayer demonstrated improved photocatalytic degradation of palmitic acid under UV and visible light illumination. Improved functional capabilities of 2D semiconductors would be advantageous to various environmental, nano-energy and bio-sensing applications. The ALD-enabled approach is proven to be versatile, scalable and applicable to the broader range of 2D semiconductors.

Keywords: two-dimensional (2D) semiconductors, ALD, WO₃, TiO₂, wafer scale

Procedia PDF Downloads 124
3658 Adsorption-desorption Behavior of Weak Polyelectrolytes Deposition on Aminolyzed-PLA Non-woven

Authors: Sima Shakoorjavan, Dawid Stawski, Somaye Akbari

Abstract:

In this study, the adsorption-desorption behavior of poly(amidoamine) (PAMAM) as a polycation and poly (acrylic acid) (PAA) as a polyanion deposited on aminolyzed-PLA nonwoven through layer-by-layer technique (lbl) was studied. The adsorption-desorption behavior was monitored by UV adsorbance spectroscopy and turbidity tests of the waste polyelectrolytes after each deposition. Also, the drying between each deposition step was performed to study the effect of drying on adsorption-desorption behavior. According to UV adsorbance spectroscopy of the waste polyelectrolyte after each deposition, it was revealed that drying has a great effect on the deposition behavior of the next layer. Regarding the deposition of the second layer, drying caused more desorption and removal of the previously deposited layer since the turbidity and the absorbance of the waste increased in comparison to pure polyelectrolyte. To deposit the third layer, the same scenario occurred and drying caused more removal of the previously deposited layer. However, the deposition of the fourth layer drying after the deposition of the third layer did not affect the adsorption-desorption behavior. Since the adsorbance and turbidity of the samples that were dried and those that were not dried were the same. As a result, it seemed that deposition of the fourth layer could be the starting point where lbl reached its constant state. The decrease in adsorbance and remaining turbidity of the waste same as a pure polyelectrolyte can indicate that most portion of the polyelectrolyte was adsorbed onto the substrate rather than complex formation in the bath as the subsequence of the previous layer removal.

Keywords: Adsorption-desorption behavior, lbl technique, poly(amidoamine), poly (acrylic acid), weak polyelectrolytes

Procedia PDF Downloads 19
3657 Atomic Layer Deposition of Metal Oxides on Si/C Materials for the Improved Cycling Stability of High-Capacity Lithium-Ion Batteries

Authors: Philipp Stehle, Dragoljub Vrankovic, Montaha Anjass

Abstract:

Due to its high availability and extremely high specific capacity, silicon (Si) is the most promising anode material for next generation lithium-ion batteries (LIBs). However, Si anodes are suffering from high volume changes during cycling causing unstable solid-electrolyte interface (SEI). One approach for mitigation of these effects is to embed Si particles into a carbon matrix to create silicon/carbon composites (Si/C). These typically show more stable electrochemical performance than bare silicon materials. Nevertheless, the same failure mechanisms mentioned earlier appear in a less pronounced form. In this work, we further improved the cycling performance of two commercially available Si/C materials by coating thin metal oxide films of different thicknesses on the powders via Atomic Layer Deposition (ALD). The coated powders were analyzed via ICP-OES and AFM measurements. Si/C-graphite anodes with automotive-relevant loadings (~3.5 mAh/cm2) were processed out of the materials and tested in half coin cells (HCCs) and full pouch cells (FPCs). During long-term cycling in FPCs, a significant improvement was observed for some of the ALD-coated materials. After 500 cycles, the capacity retention was already up to 10% higher compared to the pristine materials. Cycling of the FPCs continued until they reached a state of health (SOH) of 80%. By this point, up to the triple number of cycles were achieved by ALD-coated compared to pristine anodes. Post-mortem analysis via various methods was carried out to evaluate the differences in SEI formation and thicknesses.

Keywords: silicon anodes, li-ion batteries, atomic layer deposition, silicon-carbon composites, surface coatings

Procedia PDF Downloads 87
3656 Effect of Epoxy-ZrP Nanocomposite Top Coating on Inorganic Barrier Layer

Authors: Haesook Kim, Ha Na Ra, Mansu Kim, Hyun Gi Kim, Sung Soo Kim

Abstract:

Epoxy-ZrP (α-zirconium phosphate) nanocomposites were coated on inorganic barrier layer such as sputtering and atomic layer deposition (ALD) to improve the barrier properties and protect the layer. ZrP nanoplatelets were synthesized using a reflux method and exfoliated in the polymer matrix. The barrier properties of coating layer were characterized by measuring water vapor transmission rate (WVTR). The WVTR dramatically decreased after epoxy-ZrP nanocomposite coating, while maintaining the optical properties. It was also investigated the effect of epoxy-ZrP coating on inorganic layer after bending and reliability test. The optimal structure composed of inorganic and epoxy-ZrP nanocomposite layers was used in organic light emitting diodes (OLED) encapsulation.

Keywords: α-zirconium phosphate, barrier properties, epoxy nanocomposites, OLED encapsulation

Procedia PDF Downloads 325
3655 Approximation of PE-MOCVD to ALD for TiN Concerning Resistivity and Chemical Composition

Authors: D. Geringswald, B. Hintze

Abstract:

The miniaturization of circuits is advancing. During chip manufacturing, structures are filled for example by metal organic chemical vapor deposition (MOCVD). Since this process reaches its limits in case of very high aspect ratios, the use of alternatives such as the atomic layer deposition (ALD) is possible, requiring the extension of existing coating systems. However, it is an unsolved question to what extent MOCVD can achieve results similar as an ALD process. In this context, this work addresses the characterization of a metal organic vapor deposition of titanium nitride. Based on the current state of the art, the film properties coating thickness, sheet resistance, resistivity, stress and chemical composition are considered. The used setting parameters are temperature, plasma gas ratio, plasma power, plasma treatment time, deposition time, deposition pressure, number of cycles and TDMAT flow. The derived process instructions for unstructured wafers and inside a structure with high aspect ratio include lowering the process temperature and increasing the number of cycles, the deposition and the plasma treatment time as well as the plasma gas ratio of hydrogen to nitrogen (H2:N2). In contrast to the current process configuration, the deposited titanium nitride (TiN) layer is more uniform inside the entire test structure. Consequently, this paper provides approaches to employ the MOCVD for structures with increasing aspect ratios.

Keywords: ALD, high aspect ratio, PE-MOCVD, TiN

Procedia PDF Downloads 264
3654 Structural Analysis of Phase Transformation and Particle Formation in Metastable Metallic Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition

Authors: Pouyan Motamedi, Ken Bosnick, Ken Cadien, James Hogan

Abstract:

Growth of conformal ultrathin metal films has attracted a considerable amount of attention recently. Plasma-enhanced atomic layer deposition (PEALD) is a method capable of growing conformal thin films at low temperatures, with an exemplary control over thickness. The authors have recently reported on growth of metastable epitaxial nickel thin films via PEALD, along with a comprehensive characterization of the films and a study on the relationship between the growth parameters and the film characteristics. The goal of the current study is to use the mentioned films as a case study to investigate the temperature-activated phase transformation and agglomeration in ultrathin metallic films. For this purpose, metastable hexagonal nickel thin films were annealed using a controlled heating/cooling apparatus. The transformations in the crystal structure were observed via in-situ synchrotron x-ray diffraction. The samples were annealed to various temperatures in the range of 400-1100° C. The onset and progression of particle formation were studied in-situ via laser measurements. In addition, a four-point probe measurement tool was used to record the changes in the resistivity of the films, which is affected by phase transformation, as well as roughening and agglomeration. Thin films annealed at various temperature steps were then studied via atomic force microscopy, scanning electron microscopy and high-resolution transmission electron microscopy, in order to get a better understanding of the correlated mechanisms, through which phase transformation and particle formation occur. The results indicate that the onset of hcp-to-bcc transformation is at 400°C, while particle formations commences at 590° C. If the annealed films are quenched after transformation, but prior to agglomeration, they show a noticeable drop in resistivity. This can be attributed to the fact that the hcp films are grown epitaxially, and are under severe tensile strain, and annealing leads to relaxation of the mismatch strain. In general, the results shed light on the nature of structural transformation in nickel thin films, as well as metallic thin films, in general.

Keywords: atomic layer deposition, metastable, nickel, phase transformation, thin film

Procedia PDF Downloads 302
3653 Photoelectrochemical Water Splitting from Earth-Abundant CuO Thin Film Photocathode: Enhancing Performance and Photo-Stability through Deposition of Overlayers

Authors: Wilman Septina, Rajiv R. Prabhakar, Thomas Moehl, David Tilley

Abstract:

Cupric oxide (CuO) is a promising absorber material for the fabrication of scalable, low cost solar energy conversion devices, due to the high abundance and low toxicity of copper. It is a p-type semiconductor with a band gap of around 1.5 eV, absorbing a significant portion of the solar spectrum. One of the main challenges in using CuO as solar absorber in an aqueous system is its tendency towards photocorrosion, generating Cu2O and metallic Cu. Although there have been several reports of CuO as a photocathode for hydrogen production, it is unclear how much of the observed current actually corresponds to H2 evolution, as the inevitability of photocorrosion is usually not addressed. In this research, we investigated the effect of the deposition of overlayers onto CuO thin films for the purpose of enhancing its photostability as well as performance for water splitting applications. CuO thin film was fabricated by galvanic electrodeposition of metallic copper onto gold-coated FTO substrates, followed by annealing in air at 600 °C. Photoelectrochemical measurement of the bare CuO film using 1 M phosphate buffer (pH 6.9) under simulated AM 1.5 sunlight showed a current density of ca. 1.5 mA cm-2 (at 0.4 VRHE), which photocorroded to Cu metal upon prolonged illumination. This photocorrosion could be suppressed by deposition of 50 nm-thick TiO2, deposited by atomic layer deposition. In addition, we found that insertion of an n-type CdS layer, deposited by chemical bath deposition, between the CuO and TiO2 layers was able to enhance significantly the photocurrent compared to without the CdS layer. A photocurrent of over 2 mA cm-2 (at 0 VRHE) was observed using the photocathode stack FTO/Au/CuO/CdS/TiO2/Pt. Structural, electrochemical, and photostability characterizations of the photocathode as well as results on various overlayers will be presented.

Keywords: CuO, hydrogen, photoelectrochemical, photostability, water splitting

Procedia PDF Downloads 188
3652 Metal-Organic Chemical Vapor Deposition (MOCVD) Process Investigation for Co Thin Film as a TSV Alternative Seed Layer

Authors: Sajjad Esmaeili, Robert Krause, Lukas Gerlich, Alireza Mohammadian Kia, Benjamin Uhlig

Abstract:

This investigation aims to develop the feasible and qualitative process parameters for the thin films fabrication into ultra-large through-silicon-vias (TSVs) as vertical interconnections. The focus of the study is on TSV metallization and its challenges employing new materials for the purpose of rapid signal propagation in the microsystems technology. Cobalt metal-organic chemical vapor deposition (Co-MOCVD) process enables manufacturing an adhesive and excellent conformal ultra-thin film all the way through TSVs in comparison with the conventional non-conformal physical vapor deposition (PVD) process of copper (Cu) seed layer. Therefore, this process provides a Cu seed-free layer which is capable of direct Cu electrochemical deposition (Cu-ECD) on top of it. The main challenge of this metallization module is to achieve the proper alternative seed layer with less roughness, sheet resistance and granular organic contamination (e.g. carbon) which intensify the Co corrosion under the influence of Cu electrolyte.

Keywords: Cobalt MOCVD, direct Cu electrochemical deposition (ECD), metallization technology, through-silicon-via (TSV)

Procedia PDF Downloads 121
3651 Corrosion Control of Carbon Steel Surface by Phosphonic Acid Nano-Layers

Authors: T. Abohalkuma, J. Telegdi

Abstract:

Preparation, characterization, and application of self-assembled monolayers (SAM) formed by fluorophosphonic and undecenyl phosphonic acids on carbon steel surfaces as anticorrosive nanocoatings were demonstrated. The anticorrosive efficacy of these SAM layers was followed by atomic force microscopy, as the change in the surface morphology caused by layer deposition and corrosion processes was monitored. The corrosion process was determined by electrochemical potentiodynamic polarization, whereas the surface wettability of the carbon steel samples was tested with the use of static and dynamic contact angle measurements. Results showed that both chemicals produced good protection against corrosion as they performed as anodic inhibitors, especially with increasing the time of layer formation, which results in a more compact molecular film. According to the atomic force microscope (AFM) images, the fluoro-phosphonic acid self-assembled molecular layer can control the general as well as the pitting corrosion, but the SAM layers of the undecenyl-phosphonic acid cannot inhibit the pitting corrosion. The AFM and the contact angle measurements confirmed the results achieved by electrochemical measurements.

Keywords: nanolayers, corrosion, phosphonic acids, coatings

Procedia PDF Downloads 131
3650 “Double Layer” Theory of Hydrogenation

Authors: Vaclav Heral

Abstract:

Ideas about the mechanism of heterogeneous catalytic hydrogenation are diverse. The Horiuti-Polanyi mechanism is most often referred to, based on the idea of a semi-hydrogenated state. In our opinion, it does not represent a satisfactory explanation of the hydrogenation mechanism, because, for example: (1) It neglects the fact that the bond of atomic hydrogen to the metal surface is strongly polarized, (2) It does not explain why a surface deprived of atomic hydrogen (by thermal desorption or by alkyne) loses isomerization capabilities, but hydrogenation capabilities remain preserved, (3) It was observed that during the hydrogenation of 1-alkenes, the reaction can be of the 0th order to hydrogen and to the alkene at the same time, which is excluded during the competitive adsorption of both reactants on the catalyst surface. We offer an alternative mechanism that satisfactorily explains many of the ambiguities: It is the idea of an independent course of olefin isomerization, catalyzed by acidic atomic hydrogen bonded on the surface of the catalyst, in addition to the hydrogenation itself, in which a two-layer complex appears on the surface of the catalyst: olefin bound to the surface and molecular hydrogen bound to it in the second layer. The rate-determining step of hydrogenation is the conversion of this complex into the final product. We believe that the Horiuti-Polanyi mechanism is flawed and we naturally think that our two-layer theory better describes the experimental findings.

Keywords: acidity of hydrogenation catalyst, Horiuti-Polanyi, hydrogenation, two-layer hydrogenation

Procedia PDF Downloads 39
3649 Nano Effects of Nitrogen Ion Implantation on TiN Hard Coatings Deposited by Physical Vapour Deposition and Ion Beam Assisted Deposition

Authors: Branko Skoric, Aleksandar Miletic, Pal Terek, Lazar Kovacevic, Milan Kukuruzovic

Abstract:

In this paper, we present the results of a study of TiN thin films which are deposited by a Physical Vapour Deposition (PVD) and Ion Beam Assisted Deposition (IBAD). In the present investigation the subsequent ion implantation was provided with N5+ ions. The ion implantation was applied to enhance the mechanical properties of surface. The thin film deposition process exerts a number of effects such as crystallographic orientation, morphology, topography, densification of the films. A variety of analytic techniques were used for characterization, such as scratch test, calo test, Scanning electron microscopy (SEM), Atomic Force Microscope (AFM), X-ray diffraction (XRD) and Energy Dispersive X-ray analysis (EDAX).

Keywords: coating, super hard, ion implantation, nanohardness

Procedia PDF Downloads 301
3648 Monitoring Surface Modification of Polylactide Nonwoven Fabric with Weak Polyelectrolytes

Authors: Sima Shakoorjavan, Dawid Stawski, Somaye Akbari

Abstract:

In this study, great attempts have been made to initially modify polylactide (PLA) nonwoven surface with poly(amidoamine) (PAMMA) dendritic polymer to create amine active sites on PLA surface through aminolysis reaction. Further, layer-by-layer deposition of four layers of two weak polyelectrolytes, including PAMAM as polycation and polyacrylic acid (PAA) as polyanion on activated PLA, was monitored with turbidity analysis of waste-polyelectrolytes after each deposition step. The FTIR-ATR analysis confirmed the successful introduction of amine groups into PLA polymeric chains through the emerging peak around 1650 cm⁻¹ corresponding to N-H bending vibration and a double wide peak at around 3670-3170 cm⁻¹ corresponding to N-H stretching vibration. The adsorption-desorption behavior of (PAMAM) and poly (PAA) deposition was monitored by turbidity test. Turbidity results showed the desorption and removal of the previously deposited layer (second and third layers) upon the desorption of the next layers (third and fourth layers). Also, the importance of proper rinsing after aminolysis of PLA nonwoven fabric was revealed by turbidity test. Regarding the sample with insufficient rinsing process, higher desorption and removal of ungrafted PAMAM from aminolyzed-PLA surface into PAA solution was detected upon the deposition of the first PAA layer. This phenomenon can be due to electrostatic attraction between polycation (PAMAM) and polyanion (PAA). Moreover, the successful layer deposition through LBL was confirmed by the staining test of acid red 1 through spectrophotometry analysis. According to the results, layered PLA with four layers with PAMAM as the top layer showed higher dye absorption (46.7%) than neat (1.2%) and aminolyzed PLA (21.7%). In conclusion, the complicated adsorption-desorption behavior of dendritic polycation and linear polyanion systems was observed. Although desorption and removal of previously adsorbed layers occurred upon the deposition of the next layer, the remaining polyelectrolyte on the substrate is sufficient for the adsorption of the next polyelectrolyte through electrostatic attraction between oppositely charged polyelectrolytes. Also, an increase in dye adsorption confirmed more introduction of PAMAM onto PLA surface through LBL.

Keywords: surface modification, layer-by-layer technique, weak polyelectrolytes, adsorption-desorption behavior

Procedia PDF Downloads 20
3647 Solar Cell Using Chemical Bath Deposited PbS:Bi3+ Films as Electron Collecting Layer

Authors: Melissa Chavez Portillo, Mauricio Pacio Castillo, Hector Juarez Santiesteban, Oscar Portillo Moreno

Abstract:

Chemical bath deposited PbS:Bi3+ as an electron collection layer is introduced between the silicon wafer and the Ag electrode the performance of the PbS heterojunction thin film solar thin film solar cells with 1 cm2 active area. We employed Bi-doping to transform it into an n-type semiconductor. The experimental results reveal that the cell response parameters depend critically on the deposition procedures in terms of bath temperature, deposition time. The device achieves an open-circuit voltage of 0.4 V. The simple and low-cost deposition method of PbS:Bi3+ films is promising for the fabrication.

Keywords: Bi doping, PbS, thin films, solar cell

Procedia PDF Downloads 478
3646 Growth of Non-Polar a-Plane AlGaN Epilayer with High Crystalline Quality and Smooth Surface Morphology

Authors: Abbas Nasir, Xiong Zhang, Sohail Ahmad, Yiping Cui

Abstract:

Non-polar a-plane AlGaN epilayers of high structural quality have been grown on r-sapphire substrate by using metalorganic chemical vapor deposition (MOCVD). A graded non-polar AlGaN buffer layer with variable aluminium concentration was used to improve the structural quality of the non-polar a-plane AlGaN epilayer. The characterisations were carried out by high-resolution X-ray diffraction (HR-XRD), atomic force microscopy (AFM) and Hall effect measurement. The XRD and AFM results demonstrate that the Al-composition-graded non-polar AlGaN buffer layer significantly improved the crystalline quality and the surface morphology of the top layer. A low root mean square roughness 1.52 nm is obtained from AFM, and relatively low background carrier concentration down to 3.9×  cm-3 is obtained from Hall effect measurement.

Keywords: non-polar AlGaN epilayer, Al composition-graded AlGaN layer, root mean square, background carrier concentration

Procedia PDF Downloads 105
3645 Study of Fork Marks on Sapphire Wafers in Plasma Enhanced Chemical Vapor Deposition Tool

Authors: Qiao Pei Wen, Ng Seng Lee, Sae Tae Veera, Chiu Ah Fong, Loke Weng Onn

Abstract:

Thin film thickness uniformity is crucial to get consistent film etch rate and device yield across the wafer. In the capacitive-coupled parallel plate PECVD system; the film thickness uniformity can be affected by many factors such as the heater temperature uniformity, the spacing between top and bottom electrode, RF power, pressure, gas flows and etc. In this paper, we studied how the PECVD SiN film thickness uniformity is affected by the substrate electrical conductivity and the RF power coupling efficiency. PECVD SiN film was deposited on 150-mm sapphire wafers in 200-mm Lam Sequel tool, fork marks were observed on the wafers. On the fork marks area SiN film thickness is thinner than that on the non-fork area. The forks are the wafer handler inside the process chamber to move the wafers from one station to another. The sapphire wafers and the ceramic forks both are insulator. The high resistivity of the sapphire wafers and the forks inhibits the RF power coupling efficiency during PECVD deposition, thereby reducing the deposition rate. Comparing between the high frequency and low frequency RF power (HFRF and LFRF respectively), the LFRF power coupling effect on the sapphire wafers is more dominant than the HFRF power on the film thickness. This paper demonstrated that the SiN thickness uniformity on sapphire wafers can be improved by depositing a thin TiW layer on the wafer before the SiN deposition. The TiW layer can be on the wafer surface, bottom or any layer before SiN deposition.

Keywords: PECVD SiN deposition, sapphire wafer, substrate electrical conductivity, RF power coupling, high frequency RF power, low frequency RF power, film deposition rate, thickness uniformity

Procedia PDF Downloads 339
3644 Research on High Dielectric HfO₂ Stack Structure Applied to Field Effect Transistors

Authors: Kuan Yu Lin, Shih Chih Chen

Abstract:

This study focuses on the Al/HfO₂/Si/Al structure to explore the electrical properties of the structure. This experiment uses a radio frequency magnetron sputtering system to deposit high dielectric materials on p-type silicon substrates of 1~10 Ω-cm (100). Consider the hafnium dioxide film as a dielectric layer. Post-deposition annealing at 750°C in nitrogen atmosphere. Electron beam evaporation of metallic aluminum is then used to complete the top/bottom electrodes. The metal is post-annealed at 450°C for 20 minutes in a nitrogen environment to complete the MOS component. Its dielectric constant, equivalent oxide layer thickness, oxide layer defects, and leakage current mechanism are discussed. At PDA 750°C-5s, the maximum k value was found to be 21.2, and the EOT was 3.68nm.

Keywords: high-k gate dielectrics, HfO₂, post deposition annealing, RF magnetic

Procedia PDF Downloads 20
3643 Fabrication and Characterization of PPy/rGO|PPy/ZnO Composite with Varying Zno Concentration as Anode for Fuel Cell Applications

Authors: Bryan D. Llenarizas, Maria Carla F. Manzano

Abstract:

The rapid growth of electricity demand has led to a pursuit of alternative energy sources with high power output and not harmful to the environment. The fuel cell is a device that generates electricity via chemical reactions between the fuel and oxidant. Fuel cells have been known for decades, but the development of high-power output and durability was still one of the drawbacks of this energy source. This study investigates the potential of layer-by-layer composite for fuel cell applications. A two-electrode electrochemical cell was used for the galvanostatic electrochemical deposition method to fabricate a Polypyrrole/rGO|Polypyrrole/ZnO layer-by-layer composite material for fuel cell applications. In the synthesis, the first layer comprised 0.1M pyrrole monomer and 1mg of rGO, while the second layer had 0.1M pyrrole monomer and variations of ZnO concentration ranging from 0.08M up to 0.12M. A constant current density of 8mA/cm² was applied for 1 hour in fabricating each layer. Scanning electron microscopy (SEM) for the fabricated LBL material shows a globular surface with white spots. These white spots are the ZnO particles confirmed by energy-dispersive X-ray spectroscopy, indicating a successful deposition of the second layer onto the first layer. The observed surface morphology was consistent for each variation of ZnO concentrations. AC measurements were conducted to obtain the AC resistance of the fabricated film. Results show a decrease in AC resistance as the concentration of ZnO increases.

Keywords: anode, composite material, electropolymerization, fuel cell, galvanostatic, polypyrrole

Procedia PDF Downloads 36
3642 Fabrication of SnO₂ Nanotube Arrays for Enhanced Gas Sensing Properties

Authors: Hsyi-En Cheng, Ying-Yi Liou

Abstract:

Metal-oxide semiconductor (MOS) gas sensors are widely used in the gas-detection market due to their high sensitivity, fast response, and simple device structures. However, the high working temperature of MOS gas sensors makes them difficult to integrate with the appliance or consumer goods. One-dimensional (1-D) nanostructures are considered to have the potential to lower their working temperature due to their large surface-to-volume ratio, confined electrical conduction channels, and small feature sizes. Unfortunately, the difficulty of fabricating 1-D nanostructure electrodes has hindered the development of low-temperature MOS gas sensors. In this work, we proposed a method to fabricate nanotube-arrays, and the SnO₂ nanotube-array sensors with different wall thickness were successfully prepared and examined. The fabrication of SnO₂ nanotube arrays incorporates the techniques of barrier-free anodic aluminum oxide (AAO) template and atomic layer deposition (ALD) of SnO₂. First, 1.0 µm Al film was deposited on ITO glass substrate by electron beam evaporation and then anodically oxidized by five wt% phosphoric acid solution at 5°C under a constant voltage of 100 V to form porous aluminum oxide. As the Al film was fully oxidized, a 15 min over anodization and a 30 min post chemical dissolution were used to remove the barrier oxide at the bottom end of pores to generate a barrier-free AAO template. The ALD using reactants of TiCl4 and H₂O was followed to grow a thin layer of SnO₂ on the template to form SnO₂ nanotube arrays. After removing the surface layer of SnO₂ by H₂ plasma and dissolving the template by 5 wt% phosphoric acid solution at 50°C, upright standing SnO₂ nanotube arrays on ITO glass were produced. Finally, Ag top electrode with line width of 5 μm was printed on the nanotube arrays to form SnO₂ nanotube-array sensor. Two SnO₂ nanotube-arrays with wall thickness of 30 and 60 nm were produced in this experiment for the evaluation of gas sensing ability. The flat SnO₂ films with thickness of 30 and 60 nm were also examined for comparison. The results show that the properties of ALD SnO₂ films were related to the deposition temperature. The films grown at 350°C had a low electrical resistivity of 3.6×10-3 Ω-cm and were, therefore, used for the nanotube-array sensors. The carrier concentration and mobility of the SnO₂ films were characterized by Ecopia HMS-3000 Hall-effect measurement system and were 1.1×1020 cm-3 and 16 cm3/V-s, respectively. The electrical resistance of SnO₂ film and nanotube-array sensors in air and in a 5% H₂-95% N₂ mixture gas was monitored by Pico text M3510A 6 1/2 Digits Multimeter. It was found that, at 200 °C, the 30-nm-wall SnO₂ nanotube-array sensor performs the highest responsivity to 5% H₂, followed by the 30-nm SnO₂ film sensor, the 60-nm SnO₂ film sensor, and the 60-nm-wall SnO₂ nanotube-array sensor. However, at temperatures below 100°C, all the samples were insensitive to the 5% H₂ gas. Further investigation on the sensors with thinner SnO₂ is necessary for improving the sensing ability at temperatures below 100 °C.

Keywords: atomic layer deposition, nanotube arrays, gas sensor, tin dioxide

Procedia PDF Downloads 211
3641 Chemical Vapor Deposition (CVD) of Molybdenum Disulphide (MoS2) Monolayers

Authors: Omar Omar, Yuan Jun, Hong Jinghua, Jin Chuanhong

Abstract:

In this work molybdenum dioxide (MoO2) and sulphur powders are used to grow MoS2 mono layers at elevated temperatures T≥800 °C. Centimetre scale continues thin films with grain size up to 410 µm have been grown using chemical vapour deposition. To our best knowledge, these domains are the largest that have been grown so far. Advantage of our approach is not only because of the high quality films with large domain size one can produce, but also the procedure is potentially less hazardous than other methods tried. The thin films have been characterized using transmission electron microscopy (TEM), atomic force microscopy (AFM) and Raman spectroscopy.

Keywords: molybdenum disulphide (MoS2), monolayers, chemical vapour deposition (CVD), growth and characterization

Procedia PDF Downloads 292
3640 Luminescent Properties of Plastic Scintillator with Large Area Photonic Crystal Prepared by a Combination of Nanoimprint Lithography and Atomic Layer Deposition

Authors: Jinlu Ruan, Liang Chen, Bo Liu, Xiaoping Ouyang, Zhichao Zhu, Zhongbing Zhang, Shiyi He, Mengxuan Xu

Abstract:

Plastic scintillators play an important role in the measurement of a mixed neutron/gamma pulsed radiation, neutron radiography and pulse shape discrimination technology. In some research, these luminescent properties are necessary that photons produced by the interactions between a plastic scintillator and radiations can be detected as much as possible by the photoelectric detectors and more photons can be emitted from the scintillators along a specific direction where detectors are located. Unfortunately, a majority of these photons produced are trapped in the plastic scintillators due to the total internal reflection (TIR), because there is a significant light-trapping effect when the incident angle of internal scintillation light is larger than the critical angle. Some of these photons trapped in the scintillator may be absorbed by the scintillator itself and the others are emitted from the edges of the scintillator. This makes the light extraction of plastic scintillators very low. Moreover, only a small portion of the photons emitted from the scintillator easily can be detected by detectors effectively, because the distribution of the emission directions of this portion of photons exhibits approximate Lambertian angular profile following a cosine emission law. Therefore, enhancing the light extraction efficiency and adjusting the emission angular profile become the keys for improving the number of photons detected by the detectors. In recent years, photonic crystal structures have been covered on inorganic scintillators to enhance the light extraction efficiency and adjust the angular profile of scintillation light successfully. However, that, preparation methods of photonic crystals will deteriorate performance of plastic scintillators and even destroy the plastic scintillators, makes the investigation on preparation methods of photonic crystals for plastic scintillators and luminescent properties of plastic scintillators with photonic crystal structures inadequate. Although we have successfully made photonic crystal structures covered on the surface of plastic scintillators by a modified self-assembly technique and achieved a great enhance of light extraction efficiency without evident angular-dependence for the angular profile of scintillation light, the preparation of photonic crystal structures with large area (the diameter is larger than 6cm) and perfect periodic structure is still difficult. In this paper, large area photonic crystals on the surface of scintillators were prepared by nanoimprint lithography firstly, and then a conformal layer with material of high refractive index on the surface of photonic crystal by atomic layer deposition technique in order to enhance the stability of photonic crystal structures and increase the number of leaky modes for improving the light extraction efficiency. The luminescent properties of the plastic scintillator with photonic crystals prepared by the mentioned method are compared with those of the plastic scintillator without photonic crystal. The results indicate that the number of photons detected by detectors is increased by the enhanced light extraction efficiency and the angular profile of scintillation light exhibits evident angular-dependence for the scintillator with photonic crystals. The mentioned preparation of photonic crystals is beneficial to scintillation detection applications and lays an important technique foundation for the plastic scintillators to meet special requirements under different application backgrounds.

Keywords: angular profile, atomic layer deposition, light extraction efficiency, plastic scintillator, photonic crystal

Procedia PDF Downloads 154
3639 A Thermo-mechanical Finite Element Model to Predict Thermal Cycles and Residual Stresses in Directed Energy Deposition Technology

Authors: Edison A. Bonifaz

Abstract:

In this work, a numerical procedure is proposed to design dense multi-material structures using the Directed Energy Deposition (DED) process. A thermo-mechanical finite element model to predict thermal cycles and residual stresses is presented. A numerical layer build-up procedure coupled with a moving heat flux was constructed to minimize strains and residual stresses that result in the multi-layer deposition of an AISI 316 austenitic steel on an AISI 304 austenitic steel substrate. To simulate the DED process, the automated interface of the ABAQUS AM module was used to define element activation and heat input event data as a function of time and position. Of this manner, the construction of ABAQUS user-defined subroutines was not necessary. Thermal cycles and thermally induced stresses created during the multi-layer deposition metal AM pool crystallization were predicted and validated. Results were analyzed in three independent metal layers of three different experiments. The one-way heat and material deposition toolpath used in the analysis was created with a MatLab path script. An optimal combination of feedstock and heat input printing parameters suitable for fabricating multi-material dense structures in the directed energy deposition metal AM process was established. At constant power, it can be concluded that the lower the heat input, the lower the peak temperatures and residual stresses. It means that from a design point of view, the one-way heat and material deposition processing toolpath with the higher welding speed should be selected.

Keywords: event series, thermal cycles, residual stresses, multi-pass welding, abaqus am modeler

Procedia PDF Downloads 34
3638 In2S3 Buffer Layer Properties for Thin Film Solar Cells Based on CIGS Absorber

Authors: A. Bouloufa, K. Djessas

Abstract:

In this paper, we reported the effect of substrate temperature on the structural, electrical and optical properties of In2S3 thin films deposited on soda-lime glass substrates by physical vapor deposition technique at various substrate temperatures. The In2Se3 material used for deposition was synthesized from its constituent elements. It was found that all samples exhibit one phase which corresponds to β-In2S3 phase. Values of band gap energy of the films obtained at different substrate temperatures vary in the range of 2.38-2.80 eV and decrease with increasing substrate temperature.

Keywords: buffer layer, In2S3, optical properties, PVD, structural properties

Procedia PDF Downloads 283
3637 Effect of the Deposition Time of Hydrogenated Nanocrystalline Si Grown on Porous Alumina Film on Glass Substrate by Plasma Processing Chemical Vapor Deposition

Authors: F. Laatar, S. Ktifa, H. Ezzaouia

Abstract:

Plasma Enhanced Chemical Vapor Deposition (PECVD) method is used to deposit hydrogenated nanocrystalline silicon films (nc-Si: H) on Porous Anodic Alumina Films (PAF) on glass substrate at different deposition duration. Influence of the deposition time on the physical properties of nc-Si: H grown on PAF was investigated through an extensive correlation between micro-structural and optical properties of these films. In this paper, we present an extensive study of the morphological, structural and optical properties of these films by Atomic Force Microscopy (AFM), X-Ray Diffraction (XRD) techniques and a UV-Vis-NIR spectrometer. It was found that the changes in DT can modify the films thickness, the surface roughness and eventually improve the optical properties of the composite. Optical properties (optical thicknesses, refractive indexes (n), absorption coefficients (α), extinction coefficients (k), and the values of the optical transitions EG) of this kind of samples were obtained using the data of the transmittance T and reflectance R spectra’s recorded by the UV–Vis–NIR spectrometer. We used Cauchy and Wemple–DiDomenico models for the analysis of the dispersion of the refractive index and the determination of the optical properties of these films.

Keywords: hydragenated nanocrystalline silicon, plasma processing chemical vapor deposition, X-ray diffraction, optical properties

Procedia PDF Downloads 348
3636 Next Generation Membrane for Water Desalination: Facile Fabrication of Patterned Graphene Membrane

Authors: Jae-Kyung Choi, Soon-Yong Kwon, Hyung Duk Yun, Hyun-Sang Chung, Seongho Seo, Kukjin Bae

Abstract:

Recently, there were several attempts to utilize a graphene layer as a water desalination membrane. In order to use a graphene layer as a water desalination membrane, fabrication of crack-free suspension of graphene on a porous membrane, having hydrophobic surface, and generation of a uniform holes on a graphene are very important. In here, we showed a simple chemical vapor deposition (CVD) method to create a patterned graphene membrane on a patterned platinum film. After CVD growth process of patterned graphene layer/patterned Pt on SiO2 substrates, the patterned graphene layer can be successfully transferred onto arbitrary substrates via thermal-assisted transfer method. In this result, the transferred patterned graphene membrane has so hydrophobic surface which will certainly impact on the naturally and speed pass way for fresh water. In addition to this, we observed that overlapping of patterned graphene membranes reported previously by our group may generate different size of holes.

Keywords: chemical vapor deposition (CVD), hydrophobic surface, membrane desalination, porous graphene

Procedia PDF Downloads 432
3635 Layer-By-Layer Deposition of Poly(Ethylene Imine) Nanolayers on Polypropylene Nonwoven Fabric: Electrostatic and Thermal Properties

Authors: Dawid Stawski, Silviya Halacheva, Dorota Zielińska

Abstract:

The surface properties of many materials can be readily and predictably modified by the controlled deposition of thin layers containing appropriate functional groups and this research area is now a subject of widespread interest. The layer-by-layer (lbl) method involves depositing oppositely charged layers of polyelectrolytes onto the substrate material which are stabilized due to strong electrostatic forces between adjacent layers. This type of modification affords products that combine the properties of the original material with the superficial parameters of the new external layers. Through an appropriate selection of the deposited layers, the surface properties can be precisely controlled and readily adjusted in order to meet the requirements of the intended application. In the presented paper a variety of anionic (poly(acrylic acid)) and cationic (linear poly(ethylene imine), polymers were successfully deposited onto the polypropylene nonwoven using the lbl technique. The chemical structure of the surface before and after modification was confirmed by reflectance FTIR spectroscopy, volumetric analysis and selective dyeing tests. As a direct result of this work, new materials with greatly improved properties have been produced. For example, following a modification process significant changes in the electrostatic activity of a range of novel nanocomposite materials were observed. The deposition of polyelectrolyte nanolayers was found to strongly accelerate the loss of electrostatically generated charges and to increase considerably the thermal resistance properties of the modified fabric (the difference in T50% is over 20°C). From our results, a clear relationship between the type of polyelectrolyte layer deposited onto the flat fabric surface and the properties of the modified fabric was identified.

Keywords: layer-by-layer technique, polypropylene nonwoven, surface modification, surface properties

Procedia PDF Downloads 406
3634 Atomic Layer Deposition Of Metal Oxide Inverse Opals: A Promising Strategy For Photocatalytic Applications

Authors: Hamsasew Hankebo Lemago, Dóra Hessz, Tamás Igricz, Zoltán Erdélyi, , Imre Miklós Szilágyi

Abstract:

Metal oxide inverse opals are a promising class of photocatalysts with a unique hierarchical structure. Atomic layer deposition (ALD) is a versatile technique for the synthesis of high-precision metal oxide thin films, including inverse opals. In this study, we report the synthesis of TiO₂, ZnO, and Al₂O₃ inverse opal and their composites photocatalysts using thermal or plasma-enhanced ALD. The synthesized photocatalysts were characterized using a variety of techniques, including scanning electron microscopy (SEM)-energy dispersive X-ray spectroscopy (EDX), X-ray diffraction (XRD), Raman spectroscopy, photoluminescence (PL), ellipsometry, and UV-visible spectroscopy. The results showed that the ALD-synthesized metal oxide inverse opals had a highly ordered structure and a tunable pore size. The PL spectroscopy results showed low recombination rates of photogenerated electron-hole pairs, while the ellipsometry and UV-visible spectroscopy results showed tunable optical properties and band gap energies. The photocatalytic activity of the samples was evaluated by the degradation of methylene blue under visible light irradiation. The results showed that the ALD-synthesized metal oxide inverse opals exhibited high photocatalytic activity, even under visible light irradiation. The composites photocatalysts showed even higher activity than the individual metal oxide inverse opals. The enhanced photocatalytic activity of the composites can be attributed to the synergistic effect between the different metal oxides. For example, Al₂O₃ can act as a charge carrier scavenger, which can reduce the recombination of photogenerated electron-hole pairs. The ALD-synthesized metal oxide inverse opals and their composites are promising photocatalysts for a variety of applications, such as wastewater treatment, air purification, and energy production. The ALD-synthesized metal oxide inverse opals and their composites are promising photocatalysts for a variety of applications, such as wastewater treatment, air purification, and energy production.

Keywords: ALD, metal oxide inverse opals, photocatalysis, composites

Procedia PDF Downloads 36
3633 Antireflection Performance of Graphene Directly Deposited on Silicon Substrate by the Atmospheric Pressure Chemical Vapor Deposition Method

Authors: Samira Naghdi, Kyong Yop Rhee

Abstract:

Transfer-free synthesis of graphene on dielectric substrates is highly desirable but remains challenging. Here, by using a thin sacrificial platinum layer as a catalyst, graphene was deposited on a silicon substrate through a simple and transfer-free synthesis method. During graphene growth, the platinum layer evaporated, resulting in direct deposition of graphene on the silicon substrate. In this work, different growth conditions of graphene were optimized. Raman spectra of the produced graphene indicated that the obtained graphene was bilayer. The sheet resistance obtained from four-point probe measurements demonstrated that the deposited graphene had high conductivity. Reflectance spectroscopy of graphene-coated silicon showed a decrease in reflectance across the wavelength range of 200-800 nm, indicating that the graphene coating on the silicon surface had antireflection capabilities.

Keywords: antireflection coating, chemical vapor deposition, graphene, the sheet resistance

Procedia PDF Downloads 150