Search results for: memory protection
Commenced in January 2007
Frequency: Monthly
Edition: International
Paper Count: 3352

Search results for: memory protection

3292 The Use of Crisis Workplace Technology to Protect Communication Processes of Critical Infrastructure

Authors: Jiri Barta, Jiří F. Urbanek

Abstract:

This paper deals with a protection of the national and European infrastructure. It is issue nowadays. The paper deals with the perspectives and possibilities of "smart solutions" to critical infrastructure protection. The research project deals with computers aided technologies are used from the perspective of new, better protection of selected infrastructure objects. Protection is focused on communication and information channels. These communication and information channels are very important for the functioning of the system of protection of critical infrastructure elements.

Keywords: interoperability, communication systems, controlling proces, critical infrastructure, crisis workplaces, continuity

Procedia PDF Downloads 260
3291 Synthesis of Filtering in Stochastic Systems on Continuous-Time Memory Observations in the Presence of Anomalous Noises

Authors: S. Rozhkova, O. Rozhkova, A. Harlova, V. Lasukov

Abstract:

We have conducted the optimal synthesis of root-mean-squared objective filter to estimate the state vector in the case if within the observation channel with memory the anomalous noises with unknown mathematical expectation are complement in the function of the regular noises. The synthesis has been carried out for linear stochastic systems of continuous-time.

Keywords: mathematical expectation, filtration, anomalous noise, memory

Procedia PDF Downloads 212
3290 Production Structures of Energy Based on Water Force, Its Infrastructure Protection, and Possible Causes of Failure

Authors: Gabriela-Andreea Despescu, Mădălina-Elena Mavrodin, Gheorghe Lăzăroiu, Florin Adrian Grădinaru

Abstract:

The purpose of this paper is to contribute to the enhancement of a hydroelectric plant protection by coordinating protection measures and existing security and introducing new measures under a risk management process. Also, the plan identifies key critical elements of a hydroelectric plant, from its level vulnerabilities and threats it is subjected to in order to achieve the necessary protection measures to reduce the level of risk.

Keywords: critical infrastructure, risk analysis, critical infrastructure protection, vulnerability, risk management, turbine, impact analysis

Procedia PDF Downloads 513
3289 Extending the AOP Joinpoint Model for Memory and Type Safety

Authors: Amjad Nusayr

Abstract:

Software security is a general term used to any type of software architecture or model in which security aspects are incorporated in this architecture. These aspects are not part of the main logic of the underlying program. Software security can be achieved using a combination of approaches, including but not limited to secure software designs, third part component validation, and secure coding practices. Memory safety is one feature in software security where we ensure that any object in memory has a valid pointer or a reference with a valid type. Aspect-Oriented Programming (AOP) is a paradigm that is concerned with capturing the cross-cutting concerns in code development. AOP is generally used for common cross-cutting concerns like logging and DB transaction managing. In this paper, we introduce the concepts that enable AOP to be used for the purpose of memory and type safety. We also present ideas for extending AOP in software security practices.

Keywords: aspect oriented programming, programming languages, software security, memory and type safety

Procedia PDF Downloads 103
3288 Enhanced Disk-Based Databases towards Improved Hybrid in-Memory Systems

Authors: Samuel Kaspi, Sitalakshmi Venkatraman

Abstract:

In-memory database systems are becoming popular due to the availability and affordability of sufficiently large RAM and processors in modern high-end servers with the capacity to manage large in-memory database transactions. While fast and reliable in-memory systems are still being developed to overcome cache misses, CPU/IO bottlenecks and distributed transaction costs, disk-based data stores still serve as the primary persistence. In addition, with the recent growth in multi-tenancy cloud applications and associated security concerns, many organisations consider the trade-offs and continue to require fast and reliable transaction processing of disk-based database systems as an available choice. For these organizations, the only way of increasing throughput is by improving the performance of disk-based concurrency control. This warrants a hybrid database system with the ability to selectively apply an enhanced disk-based data management within the context of in-memory systems that would help improve overall throughput. The general view is that in-memory systems substantially outperform disk-based systems. We question this assumption and examine how a modified variation of access invariance that we call enhanced memory access, (EMA) can be used to allow very high levels of concurrency in the pre-fetching of data in disk-based systems. We demonstrate how this prefetching in disk-based systems can yield close to in-memory performance, which paves the way for improved hybrid database systems. This paper proposes a novel EMA technique and presents a comparative study between disk-based EMA systems and in-memory systems running on hardware configurations of equivalent power in terms of the number of processors and their speeds. The results of the experiments conducted clearly substantiate that when used in conjunction with all concurrency control mechanisms, EMA can increase the throughput of disk-based systems to levels quite close to those achieved by in-memory system. The promising results of this work show that enhanced disk-based systems facilitate in improving hybrid data management within the broader context of in-memory systems.

Keywords: in-memory database, disk-based system, hybrid database, concurrency control

Procedia PDF Downloads 388
3287 Ternary Content Addressable Memory Cell with a Leakage Reduction Technique

Authors: Gagnesh Kumar, Nitin Gupta

Abstract:

Ternary Content Addressable Memory cells are mainly popular in network routers for packet forwarding and packet classification, but they are also useful in a variety of other applications that require high-speed table look-up. The main TCAM-design challenge is to decrease the power consumption associated with the large amount of parallel active circuitry, without compromising with speed or memory density. Furthermore, when the channel length decreases, leakage power becomes more significant, and it can even dominate dynamic power at lower technologies. In this paper, we propose a TCAM-design technique, called Virtual Power Supply technique that reduces the leakage by a substantial amount.

Keywords: match line (ML), search line (SL), ternary content addressable memory (TCAM), Leakage power (LP)

Procedia PDF Downloads 270
3286 SCR-Based Advanced ESD Protection Device for Low Voltage Application

Authors: Bo Bae Song, Byung Seok Lee, Hyun young Kim, Chung Kwang Lee, Yong Seo Koo

Abstract:

This paper proposed a silicon controller rectifier (SCR) based ESD protection device to protect low voltage ESD for integrated circuit. The proposed ESD protection device has low trigger voltage and high holding voltage compared with conventional SCR-based ESD protection devices. The proposed ESD protection circuit is verified and compared by TCAD simulation. This paper verified effective low voltage ESD characteristics with low trigger voltage of 5.79V and high holding voltage of 3.5V through optimization depending on design variables (D1, D2, D3, and D4).

Keywords: ESD, SCR, holding voltage, latch-up

Procedia PDF Downloads 540
3285 The Ontological Memory in Bergson as a Conceptual Tool for the Analysis of the Digital Conjuncture

Authors: Douglas Rossi Ramos

Abstract:

The current digital conjuncture, called by some authors as 'Internet of Things' (IoT), 'Web 2.0' or even 'Web 3.0', consists of a network that encompasses any communication of objects and entities, such as data, information, technologies, and people. At this juncture, especially characterized by an "object socialization," communication can no longer be represented as a simple informational flow of messages from a sender, crossing a channel or medium, reaching a receiver. The idea of communication must, therefore, be thought of more broadly in which it is possible to analyze the process communicative from interactions between humans and nonhumans. To think about this complexity, a communicative process that encompasses both humans and other beings or entities communicating (objects and things), it is necessary to constitute a new epistemology of communication to rethink concepts and notions commonly attributed to humans such as 'memory.' This research aims to contribute to this epistemological constitution from the discussion about the notion of memory according to the complex ontology of Henri Bergson. Among the results (the notion of memory in Bergson presents itself as a conceptual tool for the analysis of posthumanism and the anthropomorphic conjuncture of the new advent of digital), there was the need to think about an ontological memory, analyzed as a being itself (being itself of memory), as a strategy for understanding the forms of interaction and communication that constitute the new digital conjuncture, in which communicating beings or entities tend to interact with each other. Rethinking the idea of communication beyond the dimension of transmission in informative sequences paves the way for an ecological perspective of the digital dwelling condition.

Keywords: communication, digital, Henri Bergson, memory

Procedia PDF Downloads 130
3284 Negative Sequence-Based Protection Techniques for Microgrid Connected Power Systems

Authors: Isabelle Snyder, Travis Smith

Abstract:

Microgrid protection presents challenges to conventional protection techniques due to the low-induced fault current. Protection relays present in microgrid applications require a combination of settings groups to adjust based on the architecture of the microgrid in islanded and grid-connected modes. In a radial system where the microgrid is at the other end of the feeder, directional elements can be used to identify the direction of the fault current and switch settings groups accordingly (grid-connected or microgrid-connected). However, with multiple microgrid connections, this concept becomes more challenging, and the direction of the current alone is not sufficient to identify the source of the fault current contribution. ORNL has previously developed adaptive relaying schemes through other DOE-funded research projects that will be evaluated and used as a baseline for this research. The four protection techniques in this study are labeled as follows: (1) Adaptive Current only Protection System (ACPS), Intentional (2) Unbalanced Control for Protection Control (IUCPC), (3) Adaptive Protection System with Communication Controller (APSCC) (4) Adaptive Model-Driven Protective Relay (AMDPR).

Keywords: adaptive relaying, microgrid protection, sequence components, islanding detection

Procedia PDF Downloads 43
3283 Machine Learning Assisted Performance Optimization in Memory Tiering

Authors: Derssie Mebratu

Abstract:

As a large variety of micro services, web services, social graphic applications, and media applications are continuously developed, it is substantially vital to design and build a reliable, efficient, and faster memory tiering system. Despite limited design, implementation, and deployment in the last few years, several techniques are currently developed to improve a memory tiering system in a cloud. Some of these techniques are to develop an optimal scanning frequency; improve and track pages movement; identify pages that recently accessed; store pages across each tiering, and then identify pages as a hot, warm, and cold so that hot pages can store in the first tiering Dynamic Random Access Memory (DRAM) and warm pages store in the second tiering Compute Express Link(CXL) and cold pages store in the third tiering Non-Volatile Memory (NVM). Apart from the current proposal and implementation, we also develop a new technique based on a machine learning algorithm in that the throughput produced 25% improved performance compared to the performance produced by the baseline as well as the latency produced 95% improved performance compared to the performance produced by the baseline.

Keywords: machine learning, bayesian optimization, memory tiering, CXL, DRAM

Procedia PDF Downloads 70
3282 Examining the Relations among Autobiographical Memory Recall Types, Quality of Descriptions, and Emotional Arousal in Psychotherapy for Depression

Authors: Jinny Hong, Jeanne C. Watson

Abstract:

Three types of autobiographical memory recall -specific, episodic, and generic- were examined in relation to the quality of descriptions and in-session levels of emotional arousal. Correlational analyses and general estimating equation were conducted to test the relationships between 1) quality of descriptions and type of memory, 2) type of memory and emotional arousal, and 3) quality of descriptions and emotional arousal. The data was transcripts drawn from an archival randomized-control study comparing cognitive-behavioral therapy and emotion-focused therapy in a 16-week treatment for depression. Autobiographical memory recall segments were identified and sorted into three categories: specific, episodic, and generic. Quality of descriptions of these segments was then operationalized and measured using the Referential Activity Scale, and each memory segment was rated on four dimensions: concreteness, specificity, clarity, and overall imagery. Clients’ level of emotional arousal for each recall was measured using the Client’s Expression Emotion Scale. Contrary to the predictions, generic memories are associated with higher emotional arousal ratings and descriptive language ratings compared to specific memories. However, a positive relationship emerged between the quality of descriptions and expressed emotional arousal, indicating that the quality of descriptions in which memories are described in sessions is more important than the type of memory recalled in predicting clients’ level of emotional arousal. The results from this study provide a clearer understanding of the role of memory recall types and use of language in activating emotional arousal in psychotherapy sessions in a depressed sample.

Keywords: autobiographical memory recall, emotional arousal, psychotherapy for depression, quality of descriptions, referential activity

Procedia PDF Downloads 141
3281 A Correlational Study between Parentification and Memory Retention among Parentified Female Adolescents: A Neurocognitive Perspective on Parentification

Authors: Mary Dorothy Roxas, Jeian Mae Dungca, Reginald Agor, Beatriz Figueroa, Lennon Andre Patricio, Honey Joy Cabahug

Abstract:

Parentification occurs when children are expected to provide instrumental or emotional caregiving within the family. It was found that parentification has the latter effect on adolescents’ cognitive and emotional vulnerability. Attachment theory helps clarify the process of parentification as it involves the relationship between the child and the parent. Carandang theory of “taga-salo” helps explain parentification in the Philippines setting. The present study examined the potential risk of parentification on adolescent’s memory retention by hypothesizing that there is a correlation between the two. The research was conducted with 249 female adolescents ages 12-24, residing in Valenzuela City. Results indicated that there is a significant inverse correlation between parentification and memory retention.

Keywords: memory retention, neurocognitive, parentification, stress

Procedia PDF Downloads 564
3280 Digital Memory plus City Cultural Heritage: The Peking Memory Project Experience

Authors: Huiling Feng, Xiaoshuang Jia, Jihong Liang, Li Niu

Abstract:

Beijing, formerly romanized as Peking, is the capital of the People's Republic of China and the world's second most populous city proper and most populous capital city. Beijing is a noted historical and cultural whose city history dates back three millennia which is extremely rich in terms of cultural heritage. In 2012, a digital memory project led by Humanistic Beijing Studies Center in Renmin University of China started with the goal to build a total digital collection of knowledge assets about Beijing and represent Beijing memories in new fresh ways. The title of the entire project is ‘Peking Memory Project(PMP)’. The main goal is for safeguarding the documentary heritage and intellectual memory of Beijing, more specifically speaking, from the perspective of historical humanities and public participation, PMP will comprehensively applied digital technologies like digital capture, digital storage, digital process, digital presentation and digital communication to transform different kinds of cultural heritage of Beijing into digital formats that can be stored, re-organized and shared. These digital memories can be interpreted with a new perspective, be organized with a new theme, be presented in a new way and be utilized with a new need. Taking social memory as theoretical basis and digital technologies as tools, PMP is framed with ‘Two Sites and A Repository’. Two sites mean the special website(s) characterized by ‘professional’ and an interactive website characterized by ‘crowdsourcing’. A Repository means the storage pool used for safety long-time preservation of the digital memories. The work of PMP has ultimately helped to highlight the important role in safeguarding the documentary heritage and intellectual memory of Beijing.

Keywords: digital memory, cultural heritage, digital technologies, peking memory project

Procedia PDF Downloads 149
3279 Dual-Network Memory Model for Temporal Sequences

Authors: Motonobu Hattori

Abstract:

In neural networks, when new patters are learned by a network, they radically interfere with previously stored patterns. This drawback is called catastrophic forgetting. We have already proposed a biologically inspired dual-network memory model which can much reduce this forgetting for static patterns. In this model, information is first stored in the hippocampal network, and thereafter, it is transferred to the neocortical network using pseudo patterns. Because, temporal sequence learning is more important than static pattern learning in the real world, in this study, we improve our conventional dual-network memory model so that it can deal with temporal sequences without catastrophic forgetting. The computer simulation results show the effectiveness of the proposed dual-network memory model.

Keywords: catastrophic forgetting, dual-network, temporal sequences, hippocampal

Procedia PDF Downloads 240
3278 International Protection Mechanisms for Refugees

Authors: Djehich Mohamed Yousri

Abstract:

In recent years, the world has witnessed a phenomenon of displacement that is unprecedented in history. The number of refugees has reached record levels, due to wars, persecution, many conflicts and repression in a number of countries. The interest of United Nations bodies and international and regional organizations in the issue of refugees has increased, as they have defined a refugee and thus Determining who is entitled to this legal protection, and the 1951 Convention for the Protection of Refugees defines rights for refugee protection and sets obligations that they must perform. The institutional mechanisms for refugee protection are represented in the various agencies that take care of refugee affairs. At the forefront of these agencies is the United Nations High Commissioner for Refugees, as well as the various efforts provided by the International Committee of the Red Cross and the United Nations Relief and Works Agency for Palestine Refugees in the Middle East (UNRWA).

Keywords: protection, refugees, international, persecution, legal

Procedia PDF Downloads 49
3277 Protection Plan of Medium Voltage Distribution Network in Tunisia

Authors: S. Chebbi, A. Meddeb

Abstract:

The distribution networks are often exposed to harmful incidents which can halt the electricity supply of the customer. In this context, we studied a real case of a critical zone of the Tunisian network which is currently characterized by the dysfunction of its plan of protection. In this paper, we were interested in the harmonization of the protection plan settings in order to ensure a perfect selectivity and a better continuity of service on the whole of the network.

Keywords: distribution network Gabes-Tunisia, continuity of service, protection plan settings, selectivity

Procedia PDF Downloads 483
3276 Automatic Approach for Estimating the Protection Elements of Electric Power Plants

Authors: Mahmoud Mohammad Salem Al-Suod, Ushkarenko O. Alexander, Dorogan I. Olga

Abstract:

New algorithms using microprocessor systems have been proposed for protection the diesel-generator unit in autonomous power systems. The software structure is designed to enhance the control automata of the system, in which every protection module of diesel-generator encapsulates the finite state machine.

Keywords: diesel-generator unit, protection, state diagram, control system, algorithm, software components

Procedia PDF Downloads 374
3275 Effect of Chemistry Museum Artifacts on Students’ Memory Enhancement and Interest in Radioactivity in Calabar Education Zone, Cross River State, Nigeria

Authors: Hope Amba Neji

Abstract:

The study adopted a quasi-experimental design. Two schools were used for the experimental study, while one school was used for the control. The experimental groups were subjected to treatment for four weeks with chemistry museum artifacts and a visit as made to the museum so that learners would have real-life learning experiences with museum resources, while the control group was taught with the conventional method. The instrument for the study was a 20-item Chemistry Memory Test (CMT) and a 10-item Chemistry Interest Questionnaire (CIQ). The reliability was ascertained using (KR-20) and alpha reliability coefficient, which yielded a reliability coefficient of .83 and .81, respectively. Data obtained was analyzed using Analysis of Covariance (ANCOVA) and Analysis of variance (ANOVA) at 0.05 level of significance. Findings revealed that museum artifacts have a significant effect on students’ memory enhancement and interest in chemistry. It was recommended chemistry learning should be enhanced, motivating and real with museum artifacts, which significantly aid memory enhancement and interest in chemistry.

Keywords: museum artifacts, memory, chemistry, atitude

Procedia PDF Downloads 36
3274 Leakage Current Analysis of FinFET Based 7T SRAM at 32nm Technology

Authors: Chhavi Saxena

Abstract:

FinFETs can be a replacement for bulk-CMOS transistors in many different designs. Its low leakage/standby power property makes FinFETs a desirable option for memory sub-systems. Memory modules are widely used in most digital and computer systems. Leakage power is very important in memory cells since most memory applications access only one or very few memory rows at a given time. As technology scales down, the importance of leakage current and power analysis for memory design is increasing. In this paper, we discover an option for low power interconnect synthesis at the 32nm node and beyond, using Fin-type Field-Effect Transistors (FinFETs) which are a promising substitute for bulk CMOS at the considered gate lengths. We consider a mechanism for improving FinFETs efficiency, called variable supply voltage schemes. In this paper, we’ve illustrated the design and implementation of FinFET based 4x4 SRAM cell array by means of one bit 7T SRAM. FinFET based 7T SRAM has been designed and analysis have been carried out for leakage current, dynamic power and delay. For the validation of our design approach, the output of FinFET SRAM array have been compared with standard CMOS SRAM and significant improvements are obtained in proposed model.

Keywords: FinFET, 7T SRAM cell, leakage current, delay

Procedia PDF Downloads 429
3273 Projects and Limits of Memory Engineering: A Case of Lithuanian Partisan War

Authors: Mingaile Jurkute, Vilnius University

Abstract:

The memory of the Lithuanian partisan war (1944-1953) underwent extremely dramatic transformations. During this war, the image of the resistance and a partisan was one of the key elements of Lithuanian identity. Its importance is evidenced by the extremely large legacy of songs about partisans, no other topic has collected so much folklore in Lithuania. In the Soviet years, this resistance was practically forced to be forgotten. Terror and Soviet laws have forced people to stop talking about the events, even in the family circle. In addition, the Soviets created their own propaganda story, reinterpreting the Lithuanian partisan war, presenting partisans as bandits who brutally tortured and murdered locals. But even in the Soviet years, the memory could neither be completely suppressed, nor completely transformed into wishful shape. The analysis of fiction and cinema shows that the traumatic memory of real events rushed to the surface, thus transforming the very propagandistic narrative. After the restoration of the Republic of Lithuania in 1990, the Lithuanian partisan war was gradually returned to the central place of Lithuanian history. After 2014 the nationalist heroic narrative about Lithuanian partisans became the central narrative of modern Lithuanian history. Nevertheless, interviews I conducted in Lithuanian villages reveal that the memory of local communities and families preserves quite different experiences that do not fit into neither the Soviet narrative nor the heroic one. Such experiences include, for example, partisan violence against local families. This paper is about the efforts of two political ideologies (the Soviet and the Lithuanian patriotic) to use the history of the Lithuanian partisans for their own needs, and the attempts of small communities (mostly families) to resist these efforts. The research reveals that family memory, even when opposed to aggressive state memory policies, can preserve counter-narratives by exploiting unexpected objects beyond the control of the state, such as nature and wildlife. Basically, the paper analyses the limits of the instrumentalization of memory, even by extremely aggressive political regimes.

Keywords: collective memory, post-memory, violence, military conflict, family memory

Procedia PDF Downloads 70
3272 Protection System Mis-operations: Fundamental Concepts and Learning from Indian Power Sector

Authors: Pankaj Kumar Jha, Mahendra Singh Hada, Brijendra Singh

Abstract:

Protection system is an essential feature of the electrical system which helps in detection and removal of faults. Protection system consists of many subsystems like relays, circuit breakers, instrument transformers, auxiliary DC system, auxiliary relays etc. Although the fundamental protective and relay operating concepts are similar throughout the world, there are very significant differences in their implementation. These differences arise through different traditions, operating philosophies, experiences and national standards. Protection system mis-operation due to problem in one or more of its subsystem or inadequate knowledge of numerical relay settings and configuration are very common throughout the world. Protection system mis-operation leads to unstable and unreliable grid operation. In this paper we will discuss about the fundamental concepts of protective relaying and the reasons for protection system mis-operation due to one or more of its subsystems. Many real-world case studies of protection system mis-operation from Indian power sector are discussed in detail in this paper.

Keywords: auxiliary trip relays, bus zone, check zone, CT saturation, dead zone protection, DC ground faults, DMT, DR, end fault protection, instrument transformer, SOTF, STUB

Procedia PDF Downloads 42
3271 Promoting Public Participation in the Digital Memory Project: Experience from My Peking Memory Project(MPMP)

Authors: Xiaoshuang Jia, Huiling Feng, Li Niu, Wei Hai

Abstract:

Led by Humanistic Beijing Studies Center in Renmin University of China, My Peking Memory Project(MPMP) is a long-time digital memory project under guarantee of public participation to enable the cultural and intellectual memory of Beijing to be collected, organized, preserved and promoted for discovery and research. Taking digital memory as a new way, MPMP is an important part of Peking Memory Project(PMP) which is aimed at using digital technologies to protect and (re)present the cultural heritage in Beijing. The key outcome of MPMP is the co-building of a total digital collection of knowledge assets about Beijing. Institutional memories are central to Beijing’s collection and consist of the official published documentary content of Beijing. These have already fall under the archival collection purview. The advances in information and communication technology and the knowledge form social memory theory have allowed us to collect more comprehensively beyond institutional collections. It is now possible to engage citizens on a large scale to collect private memories through crowdsourcing in digital formats. Private memories go beyond official published content to include personal narratives, some of which are just in people’s minds until they are captured by MPMP. One aim of MPMP is to engage individuals, communities, groups or institutions who have formed memories and content about Beijing, and would like to contribute them. The project hopes to build a culture of remembering and it believes ‘Every Memory Matters’. Digital memory contribution was achieved through the development of the MPMP. In reducing barriers to digital contribution and promoting high public Participation, MPMP has taken explored the harvesting of transcribe service for digital ingestion, mobile platform and some off-line activities like holding social forum. MPMP has also cooperated with the ‘Implementation Plan of Support Plan for Growth of Talents in Renmin University of China’ to get manpower and intellectual support. After six months of operation, now MPMP have more than 2000 memories added and 7 Special Memory Collections now online. The work of MPMP has ultimately helped to highlight the important role in safeguarding the documentary heritage and intellectual memory of Beijing.

Keywords: digital memory, public participation, MPMP, cultural heritage, collection

Procedia PDF Downloads 144
3270 Attention and Memory in the Music Learning Process in Individuals with Visual Impairments

Authors: Lana Burmistrova

Abstract:

Introduction: The influence of visual impairments on several cognitive processes used in the music learning process is an increasingly important area in special education and cognitive musicology. Many children have several visual impairments due to the refractive errors and irreversible inhibitors. However, based on the compensatory neuroplasticity and functional reorganization, congenitally blind (CB) and early blind (EB) individuals use several areas of the occipital lobe to perceive and process auditory and tactile information. CB individuals have greater memory capacity, memory reliability, and less false memory mechanisms are used while executing several tasks, they have better working memory (WM) and short-term memory (STM). Blind individuals use several strategies while executing tactile and working memory n-back tasks: verbalization strategy (mental recall), tactile strategy (tactile recall) and combined strategies. Methods and design: The aim of the pilot study was to substantiate similar tendencies while executing attention, memory and combined auditory tasks in blind and sighted individuals constructed for this study, and to investigate attention, memory and combined mechanisms used in the music learning process. For this study eight (n=8) blind and eight (n=8) sighted individuals aged 13-20 were chosen. All respondents had more than five years music performance and music learning experience. In the attention task, all respondents had to identify pitch changes in tonal and randomized melodic pairs. The memory task was based on the mismatch negativity (MMN) proportion theory: 80 percent standard (not changed) and 20 percent deviant (changed) stimuli (sequences). Every sequence was named (na-na, ra-ra, za-za) and several items (pencil, spoon, tealight) were assigned for each sequence. Respondents had to recall the sequences, to associate them with the item and to detect possible changes. While executing the combined task, all respondents had to focus attention on the pitch changes and had to detect and describe these during the recall. Results and conclusion: The results support specific features in CB and EB, and similarities between late blind (LB) and sighted individuals. While executing attention and memory tasks, it was possible to observe the tendency in CB and EB by using more precise execution tactics and usage of more advanced periodic memory, while focusing on auditory and tactile stimuli. While executing memory and combined tasks, CB and EB individuals used passive working memory to recall standard sequences, active working memory to recall deviant sequences and combined strategies. Based on the observation results, assessment of blind respondents and recording specifics, following attention and memory correlations were identified: reflective attention and STM, reflective attention and periodic memory, auditory attention and WM, tactile attention and WM, auditory tactile attention and STM. The results and the summary of findings highlight the attention and memory features used in the music learning process in the context of blindness, and the tendency of the several attention and memory types correlated based on the task, strategy and individual features.

Keywords: attention, blindness, memory, music learning, strategy

Procedia PDF Downloads 157
3269 Effectiveness of Working Memory Training on Cognitive Flexibility

Authors: Leila Maleki, Ezatollah Ahmadi

Abstract:

The aim of this study was to investigate the effectiveness of memory training exercise on cognitive flexibility. The method of this study was experimental. The statistical population selected 40 students 14 years old, samples were chosen by available sampling method and then they were replaced in experimental (training program) group and control group randomly and answered to Wisconsin Card Sorting Test; covariance test results indicated that there were a significant in post-test scores of experimental group (p<0.005).

Keywords: cognitive flexibility, working memory exercises, problem solving, reaction time

Procedia PDF Downloads 385
3268 Team Cognitive Heterogeneity and Strategic Decision-Making Flexibility: The Role of Transactive Memory System and Task Complexity

Authors: Rui Xing, Baolin Ye, Nan Zhou, Guohong Wang

Abstract:

Drawing upon a perspective of cognitive interaction, this study explores the relationship between team cognitive heterogeneity and team strategic decision-making flexibility, treating the transactive memory system as a mediator and task complexity as a moderator. The hypotheses were tested in linear regression models by using data gathered from 67 strategic decision-making teams in the new-energy vehicle industry. It is found that team cognitive heterogeneity has a positive impact on strategic decision-making flexibility through the mediation of specialization and coordination of the transactive memory system, which is positively moderated by task complexity.

Keywords: strategic decision-making flexibility, team cognitive heterogeneity, transactive memory system, task complexity

Procedia PDF Downloads 44
3267 Emotional Awareness and Working Memory as Predictive Factors for the Habitual Use of Cognitive Reappraisal among Adolescents

Authors: Yuri Kitahara

Abstract:

Background: Cognitive reappraisal refers to an emotion regulation strategy in which one changes the interpretation of emotion-eliciting events. Numerous studies show that cognitive reappraisal is associated with mental health and better social functioning. However the examination of the predictive factors of adaptive emotion regulation remains as an issue. The present study examined the factors contributing to the habitual use of cognitive reappraisal, with a focus on emotional awareness and working memory. Methods: Data was collected from 30 junior high school students, using a Japanese version of the Emotion Regulation Questionnaire (ERQ), the Levels of Emotional Awareness Scale for Children (LEAS-C), and N-back task. Results: A positive correlation between emotional awareness and cognitive reappraisal was observed in the high-working-memory group (r = .54, p < .05), whereas no significant relationship was found in the low-working-memory group. In addition, the results of the analysis of variance (ANOVA) showed a significant interaction between emotional awareness and working memory capacity (F(1, 26) = 7.74, p < .05). Subsequent analysis of simple main effects confirmed that high working memory capacity significantly increases the use of cognitive reappraisal for high-emotional-awareness subjects, and significantly decreases the use of cognitive reappraisal for low-emotional-awareness subjects. Discussion: These results indicate that under the condition when one has an adequate ability for simultaneous processing of information, explicit understanding of emotion would contribute to adaptive cognitive emotion regulation. The findings are discussed along with neuroscientific claims.

Keywords: cognitive reappraisal, emotional awareness, emotion regulation, working memory

Procedia PDF Downloads 198
3266 The Relationship between Representational Conflicts, Generalization, and Encoding Requirements in an Instance Memory Network

Authors: Mathew Wakefield, Matthew Mitchell, Lisa Wise, Christopher McCarthy

Abstract:

The properties of memory representations in artificial neural networks have cognitive implications. Distributed representations that encode instances as a pattern of activity across layers of nodes afford memory compression and enforce the selection of a single point in instance space. These encoding schemes also appear to distort the representational space, as well as trading off the ability to validate that input information is within the bounds of past experience. In contrast, a localist representation which encodes some meaningful information into individual nodes in a network layer affords less memory compression while retaining the integrity of the representational space. This allows the validity of an input to be determined. The validity (or familiarity) of input along with the capacity of localist representation for multiple instance selections affords a memory sampling approach that dynamically balances the bias-variance trade-off. When the input is familiar, bias may be high by referring only to the most similar instances in memory. When the input is less familiar, variance can be increased by referring to more instances that capture a broader range of features. Using this approach in a localist instance memory network, an experiment demonstrates a relationship between representational conflict, generalization performance, and memorization demand. Relatively small sampling ranges produce the best performance on a classic machine learning dataset of visual objects. Combining memory validity with conflict detection produces a reliable confidence judgement that can separate responses with high and low error rates. Confidence can also be used to signal the need for supervisory input. Using this judgement, the need for supervised learning as well as memory encoding can be substantially reduced with only a trivial detriment to classification performance.

Keywords: artificial neural networks, representation, memory, conflict monitoring, confidence

Procedia PDF Downloads 101
3265 Spatial Abilities, Memory, and Intellect of Drivers with Different Professional Experience

Authors: Khon Natalya, Kim Alla, Mukhitdinova Tansulu

Abstract:

The aim of the research was to reveal the link between mental variables, such as spatial abilities, memory, intellect and professional experience of drivers. Participants were allocated within 4 groups: no experience, inexperienced, skilled and professionals (total 85 participants). Level of ability for spatial navigation and indicator of nonverbal memory grow along the process of accumulation of driving experience. At high levels of driving experience this tendency is especially noticeable. The professionals having personal achievements in driving (racing) differ from skilled drivers in better feeling of direction which is specific for them not just in a short-term situation of an experimental task, but in life-size perspective. The level of ability of mental rotation does not grow with growth of driving experience which confirms the multiple intelligence theory according to which spatial abilities represent specific, other than logical intelligence type of intellect. The link between spatial abilities, memory, intellect, and professional experience of drivers seems to be different relating spatial navigation or mental rotation as different kinds of spatial abilities.

Keywords: memory, spatial ability, intellect, drivers

Procedia PDF Downloads 586
3264 Visual Working Memory, Reading Abilities, and Vocabulary in Mexican Deaf Signers

Authors: A. Mondaca, E. Mendoza, D. Jackson-Maldonado, A. García-Obregón

Abstract:

Deaf signers usually show lower scores in Auditory Working Memory (AWM) tasks and higher scores in Visual Working Memory (VWM) tasks than their hearing pairs. Further, Working Memory has been correlated with reading abilities and vocabulary in Deaf and Hearing individuals. The aim of the present study is to compare the performance of Mexican Deaf signers and hearing adults in VWM, reading and Vocabulary tasks and observe if the latter are correlated to the former. 15 Mexican Deaf signers were assessed using the Corsi block test for VWM, four different subtests of PROLEC (Batería de Evaluación de los Procesos Lectores) for reading abilities, and the LexTale in its Spanish version for vocabulary. T-tests show significant differences between groups for VWM and Vocabulary but not for all the PROLEC subtests. A significant Pearson correlation was found between VWM and Vocabulary but not between VWM and reading abilities. This work is part of a larger research study and results are not yet conclusive. A discussion about the use of PROLEC as a tool to explore reading abilities in a Deaf population is included.

Keywords: deaf signers, visual working memory, reading, Mexican sign language

Procedia PDF Downloads 140
3263 Detecting Memory-Related Gene Modules in sc/snRNA-seq Data by Deep-Learning

Authors: Yong Chen

Abstract:

To understand the detailed molecular mechanisms of memory formation in engram cells is one of the most fundamental questions in neuroscience. Recent single-cell RNA-seq (scRNA-seq) and single-nucleus RNA-seq (snRNA-seq) techniques have allowed us to explore the sparsely activated engram ensembles, enabling access to the molecular mechanisms that underlie experience-dependent memory formation and consolidation. However, the absence of specific and powerful computational methods to detect memory-related genes (modules) and their regulatory relationships in the sc/snRNA-seq datasets has strictly limited the analysis of underlying mechanisms and memory coding principles in mammalian brains. Here, we present a deep-learning method named SCENTBOX, to detect memory-related gene modules and causal regulatory relationships among themfromsc/snRNA-seq datasets. SCENTBOX first constructs codifferential expression gene network (CEGN) from case versus control sc/snRNA-seq datasets. It then detects the highly correlated modules of differential expression genes (DEGs) in CEGN. The deep network embedding and attention-based convolutional neural network strategies are employed to precisely detect regulatory relationships among DEG genes in a module. We applied them on scRNA-seq datasets of TRAP; Ai14 mouse neurons with fear memory and detected not only known memory-related genes, but also the modules and potential causal regulations. Our results provided novel regulations within an interesting module, including Arc, Bdnf, Creb, Dusp1, Rgs4, and Btg2. Overall, our methods provide a general computational tool for processing sc/snRNA-seq data from case versus control studie and a systematic investigation of fear-memory-related gene modules.

Keywords: sc/snRNA-seq, memory formation, deep learning, gene module, causal inference

Procedia PDF Downloads 89