Search results for: public memory
Commenced in January 2007
Frequency: Monthly
Edition: International
Paper Count: 6594

Search results for: public memory

6594 Promoting Public Participation in the Digital Memory Project: Experience from My Peking Memory Project(MPMP)

Authors: Xiaoshuang Jia, Huiling Feng, Li Niu, Wei Hai

Abstract:

Led by Humanistic Beijing Studies Center in Renmin University of China, My Peking Memory Project(MPMP) is a long-time digital memory project under guarantee of public participation to enable the cultural and intellectual memory of Beijing to be collected, organized, preserved and promoted for discovery and research. Taking digital memory as a new way, MPMP is an important part of Peking Memory Project(PMP) which is aimed at using digital technologies to protect and (re)present the cultural heritage in Beijing. The key outcome of MPMP is the co-building of a total digital collection of knowledge assets about Beijing. Institutional memories are central to Beijing’s collection and consist of the official published documentary content of Beijing. These have already fall under the archival collection purview. The advances in information and communication technology and the knowledge form social memory theory have allowed us to collect more comprehensively beyond institutional collections. It is now possible to engage citizens on a large scale to collect private memories through crowdsourcing in digital formats. Private memories go beyond official published content to include personal narratives, some of which are just in people’s minds until they are captured by MPMP. One aim of MPMP is to engage individuals, communities, groups or institutions who have formed memories and content about Beijing, and would like to contribute them. The project hopes to build a culture of remembering and it believes ‘Every Memory Matters’. Digital memory contribution was achieved through the development of the MPMP. In reducing barriers to digital contribution and promoting high public Participation, MPMP has taken explored the harvesting of transcribe service for digital ingestion, mobile platform and some off-line activities like holding social forum. MPMP has also cooperated with the ‘Implementation Plan of Support Plan for Growth of Talents in Renmin University of China’ to get manpower and intellectual support. After six months of operation, now MPMP have more than 2000 memories added and 7 Special Memory Collections now online. The work of MPMP has ultimately helped to highlight the important role in safeguarding the documentary heritage and intellectual memory of Beijing.

Keywords: digital memory, public participation, MPMP, cultural heritage, collection

Procedia PDF Downloads 141
6593 Relation between Sensory Processing Patterns and Working Memory in Autistic Children

Authors: Abbas Nesayan

Abstract:

Background: In recent years, autism has been under consideration in public and research area. Autistic children have dysfunction in communication, socialization, repetitive and stereotyped behaviors. In addition, they clinically suffer from difficulty in attention, challenge with familiar behaviors and sensory processing problems. Several variables are linked to sensory processing problems in autism, one of these variables is working memory. Working memory is part of the executive function which provides the necessary ability to completing multiple stages tasks. Method: This study has categorized in correlational research methods. After determining of entry criteria, according to purposive sampling method, 50 children were selected. Dunn’s sensory profile school companion was used for assessment of sensory processing patterns; behavioral rating inventory of executive functions was used (BRIEF) for assessment of working memory. Pearson correlation coefficient and linear regression were used for data analyzing. Results: The results showed the significant relationship between sensory processing patterns (low registration, sensory seeking, sensory sensitivity and sensory avoiding) with working memory in autistic children. Conclusion: According to the findings, there is the significant relationship between the patterns of sensory processing and working memory. So, in order to improve the working memory could be used some interventions based on the sensory processing.

Keywords: sensory processing patterns, working memory, autism, autistic children

Procedia PDF Downloads 185
6592 Real-Time Episodic Memory Construction for Optimal Action Selection in Cognitive Robotics

Authors: Deon de Jager, Yahya Zweiri, Dimitrios Makris

Abstract:

The three most important components in the cognitive architecture for cognitive robotics is memory representation, memory recall, and action-selection performed by the executive. In this paper, action selection, performed by the executive, is defined as a memory quantification and optimization process. The methodology describes the real-time construction of episodic memory through semantic memory optimization. The optimization is performed by set-based particle swarm optimization, using an adaptive entropy memory quantification approach for fitness evaluation. The performance of the approach is experimentally evaluated by simulation, where a UAV is tasked with the collection and delivery of a medical package. The experiments show that the UAV dynamically uses the episodic memory to autonomously control its velocity, while successfully completing its mission.

Keywords: cognitive robotics, semantic memory, episodic memory, maximum entropy principle, particle swarm optimization

Procedia PDF Downloads 116
6591 Retrieval-Induced Forgetting Effects in Retrospective and Prospective Memory in Normal Aging: An Experimental Study

Authors: Merve Akca

Abstract:

Retrieval-induced forgetting (RIF) refers to the phenomenon that selective retrieval of some information impairs memory for related, but not previously retrieved information. Despite age differences in retrieval-induced forgetting regarding retrospective memory being documented, this research aimed to highlight age differences in RIF of the prospective memory tasks for the first time. By using retrieval-practice paradigm, this study comparatively examined RIF effects in retrospective memory and event-based prospective memory in young and old adults. In this experimental study, a mixed factorial design with age group (Young, Old) as a between-subject variable, and memory type (Prospective, Retrospective) and item type (Practiced, Non-practiced) as within-subject variables was employed. Retrieval-induced forgetting was observed in the retrospective but not in the prospective memory task. Therefore, the results indicated that selective retrieval of past events led to suppression of other related past events in both age groups but not the suppression of memory for future intentions.

Keywords: prospective memory, retrieval-induced forgetting, retrieval inhibition, retrospective memory

Procedia PDF Downloads 285
6590 The Characterisation of TLC NAND Flash Memory, Leading to a Definable Endurance/Retention Trade-Off

Authors: Sorcha Bennett, Joe Sullivan

Abstract:

Triple-Level Cell (TLC) NAND Flash memory at, and below, 20nm (nanometer) is still largely unexplored by researchers, and with the ever more commonplace existence of Flash in consumer and enterprise applications there is a need for such gaps in knowledge to be filled. At the time of writing, there was little published data or literature on TLC, and more specifically reliability testing, with a further emphasis on both endurance and retention. This paper will give an introduction to NAND Flash memory, followed by an overview of the relevant current research on the reliability of Flash memory, along with the planned future work which will provide results to help characterise the reliability of TLC memory.

Keywords: endurance, patterns, raw flash, reliability, retention, TLC NAND flash memory, trade-off

Procedia PDF Downloads 325
6589 Design and Implementation of a Memory Safety Isolation Method Based on the Xen Cloud Environment

Authors: Dengpan Wu, Dan Liu

Abstract:

In view of the present cloud security problem has increasingly become one of the major obstacles hindering the development of the cloud computing, put forward a kind of memory based on Xen cloud environment security isolation technology implementation. And based on Xen virtual machine monitor system, analysis of the model of memory virtualization is implemented, using Xen memory virtualization system mechanism of super calls and grant table, based on the virtual machine manager internal implementation of access control module (ACM) to design the security isolation system memory. Experiments show that, the system can effectively isolate different customer domain OS between illegal access to memory data.

Keywords: cloud security, memory isolation, xen, virtual machine

Procedia PDF Downloads 363
6588 Trimma: Trimming Metadata Storage and Latency for Hybrid Memory Systems

Authors: Yiwei Li, Boyu Tian, Mingyu Gao

Abstract:

Hybrid main memory systems combine both performance and capacity advantages from heterogeneous memory technologies. With larger capacities, higher associativities, and finer granularities, hybrid memory systems currently exhibit significant metadata storage and lookup overheads for flexibly remapping data blocks between the two memory tiers. To alleviate the inefficiencies of existing designs, we propose Trimma, the combination of a multi-level metadata structure and an efficient metadata cache design. Trimma uses a multilevel metadata table to only track truly necessary address remap entries. The saved memory space is effectively utilized as extra DRAM cache capacity to improve performance. Trimma also uses separate formats to store the entries with non-identity and identity mappings. This improves the overall remap cache hit rate, further boosting the performance. Trimma is transparent to software and compatible with various types of hybrid memory systems. When evaluated on a representative DDR4 + NVM hybrid memory system, Trimma achieves up to 2.4× and on average 58.1% speedup benefits, compared with a state-of-the-art design that only leverages the unallocated fast memory space for caching. Trimma addresses metadata management overheads and targets future scalable large-scale hybrid memory architectures.

Keywords: memory system, data cache, hybrid memory, non-volatile memory

Procedia PDF Downloads 26
6587 Short-Term and Working Memory Differences Across Age and Gender in Children

Authors: Farzaneh Badinloo, Niloufar Jalali-Moghadam, Reza Kormi-Nouri

Abstract:

The aim of this study was to explore the short-term and working memory performances across age and gender in school aged children. Most of the studies have been interested in looking into memory changes in adult subjects. This study was instead focused on exploring both short-term and working memories of children over time. Totally 410 school child participants belonging to four age groups (approximately 8, 10, 12 and 14 years old) among which were 201 girls and 208 boys were employed in the study. digits forward and backward tests of the Wechsler children intelligence scale-revised were conducted respectively as short-term and working memory measures. According to results, there was found a general increment in both short-term and working memory scores across age (p ˂ .05) by which whereas short-term memory performance was shown to increase up to 12 years old, working memory scores showed no significant increase after 10 years old of age. No difference was observed in terms of gender (p ˃ .05). In conclusion, this study suggested that both short-term and working memories improve across age in children where 12 and 10 years of old are likely the crucial age periods in terms of short-term and working memories development.

Keywords: age, gender, short-term memory, working memory

Procedia PDF Downloads 446
6586 Digital Memory plus City Cultural Heritage: The Peking Memory Project Experience

Authors: Huiling Feng, Xiaoshuang Jia, Jihong Liang, Li Niu

Abstract:

Beijing, formerly romanized as Peking, is the capital of the People's Republic of China and the world's second most populous city proper and most populous capital city. Beijing is a noted historical and cultural whose city history dates back three millennia which is extremely rich in terms of cultural heritage. In 2012, a digital memory project led by Humanistic Beijing Studies Center in Renmin University of China started with the goal to build a total digital collection of knowledge assets about Beijing and represent Beijing memories in new fresh ways. The title of the entire project is ‘Peking Memory Project(PMP)’. The main goal is for safeguarding the documentary heritage and intellectual memory of Beijing, more specifically speaking, from the perspective of historical humanities and public participation, PMP will comprehensively applied digital technologies like digital capture, digital storage, digital process, digital presentation and digital communication to transform different kinds of cultural heritage of Beijing into digital formats that can be stored, re-organized and shared. These digital memories can be interpreted with a new perspective, be organized with a new theme, be presented in a new way and be utilized with a new need. Taking social memory as theoretical basis and digital technologies as tools, PMP is framed with ‘Two Sites and A Repository’. Two sites mean the special website(s) characterized by ‘professional’ and an interactive website characterized by ‘crowdsourcing’. A Repository means the storage pool used for safety long-time preservation of the digital memories. The work of PMP has ultimately helped to highlight the important role in safeguarding the documentary heritage and intellectual memory of Beijing.

Keywords: digital memory, cultural heritage, digital technologies, peking memory project

Procedia PDF Downloads 145
6585 The Involvement of Visual and Verbal Representations Within a Quantitative and Qualitative Visual Change Detection Paradigm

Authors: Laura Jenkins, Tim Eschle, Joanne Ciafone, Colin Hamilton

Abstract:

An original working memory model suggested the separation of visual and verbal systems in working memory architecture, in which only visual working memory components were used during visual working memory tasks. It was later suggested that the visuo spatial sketch pad was the only memory component at use during visual working memory tasks, and components such as the phonological loop were not considered. In more recent years, a contrasting approach has been developed with the use of an executive resource to incorporate both visual and verbal representations in visual working memory paradigms. This was supported using research demonstrating the use of verbal representations and an executive resource in a visual matrix patterns task. The aim of the current research is to investigate the working memory architecture during both a quantitative and a qualitative visual working memory task. A dual task method will be used. Three secondary tasks will be used which are designed to hit specific components within the working memory architecture – Dynamic Visual Noise (visual components), Visual Attention (spatial components) and Verbal Attention (verbal components). A comparison of the visual working memory tasks will be made to discover if verbal representations are at use, as the previous literature suggested. This direct comparison has not been made so far in the literature. Considerations will be made as to whether a domain specific approach should be employed when discussing visual working memory tasks, or whether a more domain general approach could be used instead.

Keywords: semantic organisation, visual memory, change detection

Procedia PDF Downloads 553
6584 Hydrogen: Contention-Aware Hybrid Memory Management for Heterogeneous CPU-GPU Architectures

Authors: Yiwei Li, Mingyu Gao

Abstract:

Integrating hybrid memories with heterogeneous processors could leverage heterogeneity in both compute and memory domains for better system efficiency. To ensure performance isolation, we introduce Hydrogen, a hardware architecture to optimize the allocation of hybrid memory resources to heterogeneous CPU-GPU systems. Hydrogen supports efficient capacity and bandwidth partitioning between CPUs and GPUs in both memory tiers. We propose decoupled memory channel mapping and token-based data migration throttling to enable flexible partitioning. We also support epoch-based online search for optimized configurations and lightweight reconfiguration with reduced data movements. Hydrogen significantly outperforms existing designs by 1.21x on average and up to 1.31x.

Keywords: hybrid memory, heterogeneous systems, dram cache, graphics processing units

Procedia PDF Downloads 21
6583 Understanding Perceptual Differences and Preferences of Urban Color in New Taipei City

Authors: Yuheng Tao

Abstract:

Rapid urbanization has brought the consequences of incompatible and excessive homogeneity of urban system, and urban color planning has become one of the most effective ways to restore the characteristics of cities. Among the many urban color design research, the establishment of urban theme colors has rarely been discussed. This study took the "New Taipei City Environmental Aesthetic Color” project as a research case and conducted mixed-method research that included expert interviews and quantitative survey data. This study introduces how theme colors were selected by the experts and investigates public’s perception and preference of the selected theme colors. Several findings include 1) urban memory plays a significant role in determining urban theme colors; 2) When establishing urban theme colors, areas/cities with relatively weak urban memory are given priority to be defined; 3) Urban theme colors that imply cultural attributes are more widely accepted by the public; 4) A representative city theme color helps conserve culture rather than guiding innovation. In addition, this research rearranges the urban color symbolism and specific content of urban theme colors and provides a more scientific urban theme color selection scheme for urban planners.

Keywords: urban theme color, urban color attribute, public perception, public preferences

Procedia PDF Downloads 114
6582 Post-Islamic Utopias, Contentious Memory and the Revolutionary Mobilization in Iran

Authors: Saeed Saffar-Heidari

Abstract:

This article aims to study the recent Iranian national uprising of “Women, Life, Freedom” as a site of memory which renders the political possibility of imagining the post-Islamic futures in Iran. “Women, Life, Freedom” movement in Iran has been arguably the most pervasive social movement since the Islamic Revolution (1979) as it has posed serious issues and conflicts for the present Islamic state in Iran. The core argument of this article, however, is oriented toward the critical role of collective memory as a means of political transition and revolutionary mobilization. “Women, Life, Freedom” movement, among other things, has revitalized the popular binary opposition of pre-1979 and post-1979 Iran through which the Ancien Régime or the pre-1979 era is likely to be interpreted, read, and remembered in terms of present post-1979 cultural and political demands. As remembering involves everyday participation in shaping and reshaping the past through new codes, criteria, and values, it is argued that the presentist refashioning and remembering of the pre-1979 monarchical era has been one of the major facilitatory forces for the on-going revolutionary mobilization in Iran. The construction of the pre-1979 memory and the return of the dynastic specter has played a significant role in revolutionary mobilization as it has provided the protesters with the possible perspectives of post-Islamic regime in Iran. Additionally, the question of compulsory “Hijab” (veiling) as the prime mover of "Women, Life, Freedom” movement in Iran has strongly contributed to the everyday comparative discourse of pre/post 1979 memory. According to this presentist remembering of pre-1979, the Pahlavi dynasty would be conceived as a symbol of modernization, westernization, secularization, and non-compulsory Hijab. While the memory of the pre-revolutionary Iran is genuinely an imaginative as well as a constructed entity that finally culminates in the public condemnation of the very Islamic revolution (1979), it serves the enrichment of the Iranian political imagination as it paves the ways for the revolutionary mobilization and then the overthrowing of the Islamic regime in Iran. This article makes a case for the ways that the public narrative and discourse around the Islamic regime (especially the Islamic Hijab) led to the refashioning of the memory of pre-1979 era and inspired he revolutionary mobilization in Iran.

Keywords: post-islamic, utopias, memory, revolutionary, mobilization, Iran

Procedia PDF Downloads 90
6581 Learning outside the Box by Using Memory Techniques Skill: Case Study in Indonesia Memory Sports Council

Authors: Muhammad Fajar Suardi, Fathimatufzzahra, Dela Isnaini Sendra

Abstract:

Learning is an activity that has been used to do, especially for a student or academics. But a handful of people have not been using and maximizing their brains work and some also do not know a good brain work time in capturing the lessons, so that knowledge is absorbed is also less than the maximum. Indonesia Memory Sports Council (IMSC) is an institution which is engaged in the performance of the brain and the development of effective learning methods by using several techniques that can be used in considering the lessons and knowledge to grasp well, including: loci method, substitution method, and chain method. This study aims to determine the techniques and benefits of using the method given in learning and memorization by applying memory techniques taught by Indonesia Memory Sports Council (IMSC) to students and the difference if not using this method. This research uses quantitative research with survey method addressed to students of Indonesian Memory Sports Council (IMSC). The results of this study indicate that learn, understand and remember the lesson using the techniques of memory which is taught in Indonesia Memory Sport Council is very effective and faster to absorb the lesson than learning without using the techniques of memory, and this affects the academic achievement of students in each educational institution.

Keywords: chain method, Indonesia memory sports council, loci method, substitution method

Procedia PDF Downloads 256
6580 Tactile Cues and Spatial Navigation in Mice

Authors: Rubaiyea Uddin

Abstract:

The hippocampus, located in the limbic system, is most commonly known for its role in memory and spatial navigation (as cited in Brain Reward and Pathways). It maintains an especially important role in specifically episodic and declarative memory. The hippocampus has also recently been linked to dopamine, the reward pathway’s primary neurotransmitter. Since research has found that dopamine also contributes to memory consolidation and hippocampal plasticity, this neurotransmitter is potentially responsible for contributing to the hippocampus’s role in memory formation. In this experiment we tested to see the effect of tactile cues on spatial navigation for eight different mice. We used a radial arm that had one designated 'reward' arm containing sucrose. The presence or absence of bedding was our tactile cue. We attempted to see if the memory of that cue would enhance the mice’s memory of having received the reward in that arm. The results from our study showed there was no significant response from the use of tactile cues on spatial navigation on our 129 mice. Tactile cues therefore do not influence spatial navigation.

Keywords: mice, radial arm maze, memory, spatial navigation, tactile cues, hippocampus, reward, sensory skills, Alzheimer’s, neurodegnerative disease

Procedia PDF Downloads 621
6579 The Use of Learning Management Systems during Emerging the Tacit Knowledge

Authors: Ercan Eker, Muhammer Karaman, Akif Aslan, Hakan Tanrikuluoglu

Abstract:

Deficiency of institutional memory and knowledge management can result in information security breaches, loss of prestige and trustworthiness and the worst the loss of know-how and institutional knowledge. Traditional learning management within organizations is generally handled by personal efforts. That kind of struggle mostly depends on personal desire, motivation and institutional belonging. Even if an organization has highly motivated employees at a certain time, the institutional knowledge and memory life cycle will generally remain limited to these employees’ spending time in this organization. Having a learning management system in an organization can sustain the institutional memory, knowledge and know-how in the organization. Learning management systems are much more needed especially in public organizations where the job rotation is frequently seen and managers are appointed periodically. However, a learning management system should not be seen as an organizations’ website. It is a more comprehensive, interactive and user-friendly knowledge management tool for organizations. In this study, the importance of using learning management systems in the process of emerging tacit knowledge is underlined.

Keywords: knowledge management, learning management systems, tacit knowledge, institutional memory

Procedia PDF Downloads 343
6578 Implementation of an Associative Memory Using a Restricted Hopfield Network

Authors: Tet H. Yeap

Abstract:

An analog restricted Hopfield Network is presented in this paper. It consists of two layers of nodes, visible and hidden nodes, connected by directional weighted paths forming a bipartite graph with no intralayer connection. An energy or Lyapunov function was derived to show that the proposed network will converge to stable states. By introducing hidden nodes, the proposed network can be trained to store patterns and has increased memory capacity. Training to be an associative memory, simulation results show that the associative memory performs better than a classical Hopfield network by being able to perform better memory recall when the input is noisy.

Keywords: restricted Hopfield network, Lyapunov function, simultaneous perturbation stochastic approximation

Procedia PDF Downloads 99
6577 WormHex: Evidence Retrieval Tool of Social Media from Volatile Memory

Authors: Norah Almubairik, Wadha Almattar, Amani Alqarni

Abstract:

Social media applications are increasingly being used in our everyday communications. These applications utilise end-to-end encryption mechanisms, which make them suitable tools for criminals to exchange messages. These messages are preserved in the volatile memory until the device is restarted. Therefore, volatile forensics has become an important branch of digital forensics. In this study, the WormHex tool was developed to inspect the memory dump files of Windows and Mac-based workstations. The tool supports digital investigators to extract valuable data written in Arabic and English through web-based WhatsApp and Twitter applications. The results verify that social media applications write their data into the memory regardless of the operating system running the application, with there being no major differences between Windows and Mac.

Keywords: volatile memory, REGEX, digital forensics, memory acquisition

Procedia PDF Downloads 150
6576 Rheological Modeling for Shape-Memory Thermoplastic Polymers

Authors: H. Hosseini, B. V. Berdyshev, I. Iskopintsev

Abstract:

This paper presents a rheological model for producing shape-memory thermoplastic polymers. Shape-memory occurs as a result of internal rearrangement of the structural elements of a polymer. A non-linear viscoelastic model was developed that allows qualitative and quantitative prediction of the stress-strain behavior of shape-memory polymers during heating. This research was done to develop a technique to determine the maximum possible change in size of heat-shrinkable products during heating. The rheological model used in this work was particularly suitable for defining process parameters and constructive parameters of the processing equipment.

Keywords: elastic deformation, heating, shape-memory polymers, stress-strain behavior, viscoelastic model

Procedia PDF Downloads 292
6575 The Role of Planning and Memory in the Navigational Ability

Authors: Greeshma Sharma, Sushil Chandra, Vijander Singh, Alok Prakash Mittal

Abstract:

Navigational ability requires spatial representation, planning, and memory. It covers three interdependent domains, i.e. cognitive and perceptual factors, neural information processing, and variability in brain microstructure. Many attempts have been made to see the role of spatial representation in the navigational ability, and the individual differences have been identified in the neural substrate. But, there is also a need to address the influence of planning, memory on navigational ability. The present study aims to evaluate relations of aforementioned factors in the navigational ability. Total 30 participants volunteered in the study of a virtual shopping complex and subsequently were classified into good and bad navigators based on their performances. The result showed that planning ability was the most correlated factor for the navigational ability and also the discriminating factor between the good and bad navigators. There was also found the correlations between spatial memory recall and navigational ability. However, non-verbal episodic memory and spatial memory recall were also found to be correlated with the learning variable. This study attempts to identify differences between people with more and less navigational ability on the basis of planning and memory.

Keywords: memory, planning navigational ability, virtual reality

Procedia PDF Downloads 297
6574 The Role of Executive Attention and Literacy on Consumer Memory

Authors: Fereshteh Nazeri Bahadori

Abstract:

In today's competitive environment, any company that aims to operate in a market, whether industrial or consumer markets, must know that it cannot address all the tastes and demands of customers at once and serve them all. The study of consumer memory is considered an important subject in marketing research, and many companies have conducted studies on this subject and the factors affecting it due to its importance. Therefore, the current study tries to investigate the relationship between consumers' attention, literacy, and memory. Memory has a very close relationship with learning. Memory is the collection of all the information that we have understood and stored. One of the important subjects in consumer behavior is information processing by the consumer. One of the important factors in information processing is the mental involvement of the consumer, which has attracted a lot of attention in the past two decades. Since consumers are the turning point of all marketing activities, successful marketing begins with understanding why and how consumers behave. Therefore, in the current study, the role of executive attention and literacy on consumers' memory has been investigated. The results showed that executive attention and literacy would play a significant role in the long-term and short-term memory of consumers.

Keywords: literacy, consumer memory, executive attention, psychology of consumer behavior

Procedia PDF Downloads 57
6573 Evaluating Language Loss Effect on Autobiographical Memory by Examining Memory Phenomenology in Bilingual Speakers

Authors: Anastasia Sorokina

Abstract:

Graduate language loss or attrition has been well documented in individuals who migrate and become emersed in a different language environment. This phenomenon of first language (L1) attrition is an example of non-pathological (not due to trauma) and can manifest itself in frequent pauses, search for words, or grammatical errors. While the widely experienced loss of one’s first language might seem harmless, there is convincing evidence from the disciplines of Developmental Psychology, Bilingual Studies, and even Psychotherapy that language plays a crucial role in the memory of self. In fact, we remember, store, and share personal memories with the help of language. Dual-Coding Theory suggests that language memory code deterioration could lead to forgetting. Yet, no one has investigated a possible connection between language loss and memory. The present study aims to address this research gap by examining a corpus of 1,495 memories of Russian-English bilinguals who are on a continuum of L1 (first language) attrition. Since phenomenological properties capture how well a memory is remembered, the following descriptors were selected - vividness, ease of recall, emotional valence, personal significance, and confidence in the event. A series of linear regression statistical analyses were run to examine the possible negative effects of L1 attrition on autobiographical memory. The results revealed that L1 attrition might compromise perceived vividness and confidence in the event, which is indicative of memory deterioration. These findings suggest the importance of heritage language maintenance in immigrant communities who might be forced to assimilate as language loss might negatively affect the memory of self.

Keywords: L1 attrition, autobiographical memory, language loss, memory phenomenology, dual coding

Procedia PDF Downloads 77
6572 Memory Types in Hemodialysis Patients: A Study Based on Hemodialysis Duration, Zahedan, South East of Iran

Authors: B. Sabayan, A. Alidadi, S. Ebrahimi, N. M. Bakhshani

Abstract:

Neuropsychological problems are more common in hemodialysis (HD) patients than in healthy individuals. The aim of this study was to investigate the effect of long term HD on memory types of HD patients. To assess the different type of memory, we used memory parts of the Persian Papers and Pencil Cognitive assessment package (PCAP) and Addenbrooke's Cognitive Examination (ACE-R). Our study included 80 HD patients of whom 39 had less than six months of HD and 41 patients and another group which had a history of HD more than six months. The population had a mean age of 51.60 years old and 27.5% of them were female. The scores of patients who have been hemodialyzed for a long time (median time of HD was up to 4 years) had lower score in anterograde, explicit, visual, recall and recognition memory (5.44±1.07, 9.49±3.472, 22.805±6.6913, 5.59±10.435, 11.02±3.190 score) than the HD patients who underwent HD for a shorter term, where the median time was 3 to 5 months (P<0.01). The regression result shows that, by increasing the HD duration, all memory types are reduced (R2=0.600, P<0.01). The present study demonstrated that HD patients who were under HD for a long time had significantly lower scores in the different types of memory. However, additional researches are needed in this area.

Keywords: hemodialysis patients, duration of hemodialysis, memory types, Zahedan

Procedia PDF Downloads 143
6571 Evidences for Better Recall with Compatible Items in Episodic Memory

Authors: X. Laurent, M. A. Estevez, P. Mari-Beffa

Abstract:

A focus of recent research is to understand the role of our own response goals in the selection of information that will be encoded in episodic memory. For example, if we respond to a target in the presence of distractors, an important aspect under study is whether the distractor and the target share a common response (compatible) or not (incompatible). Some studies have found that compatible objects tend to be groups together and stored in episodic memory, whereas others found that targets in the presence of incompatible distractors are remembered better. Our current research seems to support both views. We used a Tulving-based definition of episodic memory to differentiate memory from episodic and non-episodic traces. In this task, participants first had to classify a blue object as human or animal (target) which appeared in the presence of a green one (distractor) that could belong to the same category of the target (compatible), to the opposite (incompatible) or to an irrelevant one (neutral). Later they had to report the identity (What), location (Where) and time (When) of both target objects (which had been previously responded to) and distractors (which had been ignored). Episodic memory was inferred when the three scene properties (identity, location and time) were correct. The measure of non-episodic memory consisted of those trials in which the identity was correctly remembered, but not the location or time. Our results showed that episodic memory for compatible stimuli is significantly superior to incompatible ones. In sharp contrast, non-episodic measures found superior memory for targets in the presence of incompatible distractors. Our results demonstrate that response compatibility affects the encoding of episodic and non-episodic memory traces in different ways.

Keywords: episodic memory, action systems, compatible response, what-where-when task

Procedia PDF Downloads 140
6570 Resistive Switching in TaN/AlNx/TiN Cell

Authors: Hsin-Ping Huang, Shyankay Jou

Abstract:

Resistive switching of aluminum nitride (AlNx) thin film was demonstrated in a TaN/AlNx/TiN memory cell that was prepared by sputter deposition techniques. The memory cell showed bipolar switching of resistance between +3.5 V and –3.5 V. The resistance ratio of high resistance state (HRS) to low resistance state (HRS), RHRS/RLRS, was about 2 over 100 cycles of endurance test. Both the LRS and HRS of the memory cell exhibited ohmic conduction at low voltages and Poole-Frenkel emission at high voltages. The electrical conduction in the TaN/AlNx/TiN memory cell was possibly attributed to the interactions between charges and defects in the AlNx film.

Keywords: aluminum nitride, nonvolatile memory, resistive switching, thin films

Procedia PDF Downloads 369
6569 Molecular Dynamics Simulation on Nanoelectromechanical Graphene Nanoflake Shuttle Device

Authors: Eunae Lee, Oh-Kuen Kwon, Ki-Sub Kim, Jeong Won Kang

Abstract:

We investigated the dynamic properties of graphene-nanoribbon (GNR) memory encapsulating graphene-nanoflake (GNF) shuttle in the potential to be applicable as a non-volatile random access memory via molecular dynamics simulations. This work explicitly demonstrates that the GNR encapsulating the GNF shuttle can be applied to nonvolatile memory. The potential well was originated by the increase of the attractive vdW energy between the GNRs when the GNF approached the edges of the GNRs. So the bistable positions were located near the edges of the GNRs. Such a nanoelectromechanical non-volatile memory based on graphene is also applicable to the development of switches, sensors, and quantum computing.

Keywords: graphene nanoribbon, graphene nanoflake, shuttle memory, molecular dynamics

Procedia PDF Downloads 410
6568 Exposure to Tactile Cues Does Not Influence Spatial Navigation in 129 S1/SvLm Mice

Authors: Rubaiyea Uddin, Rebecca Taylor, Emily Levesque

Abstract:

The hippocampus, located in the limbic system, is most commonly known for its role in memory and spatial navigation (as cited in Brain Reward and Pathways). It maintains an especially important role in specifically episodic and declarative memory. The hippocampus has also recently been linked to dopamine, the reward pathway’s primary neurotransmitter. Since research has found that dopamine also contributes to memory consolidation and hippocampal plasticity, this neurotransmitter is potentially responsible for contributing to the hippocampus’s role in memory formation. In this experiment we tested to see the effect of tactile cues on spatial navigation for eight different mice. We used a radial arm that had one designated “reward” arm containing sucrose. The presence or absence of bedding was our tactile cue. We attempted to see if the memory of that cue would enhance the mice’s memory of having received the reward in that arm. The results from our study showed there was no significant response from the use of tactile cues on spatial navigation on our 129 mice. Tactile cues therefore do not influence spatial navigation.

Keywords: mice, radial arm maze, memory, spatial navigation, tactile cues, hippocampus, reward, sensory skills, Alzheimer's, neuro-degenerative diseases

Procedia PDF Downloads 650
6567 Memory and Narratives Rereading before and after One Week

Authors: Abigail M. Csik, Gabriel A. Radvansky

Abstract:

As people read through event-based narratives, they construct an event model that captures information about the characters, goals, location, time, and causality. For many reasons, memory for such narratives is represented at different levels, namely, the surface form, textbase, and event model levels. Rereading has been shown to decrease surface form memory, while, at the same time, increasing textbase and event model memories. More generally, distributed practice has consistently shown memory benefits over massed practice for different types of materials, including texts. However, little research has investigated distributed practice of narratives at different inter-study intervals and these effects on these three levels of memory. Recent work in our lab has indicated that there may be dramatic changes in patterns of forgetting around one week, which may affect the three levels of memory. The present experiment aimed to determine the effects of rereading on the three levels of memory as a factor of whether the texts were reread before versus after one week. Participants (N = 42) read a set of stories, re-read them either before or after one week (with an inter-study interval of three days, seven days, or fourteen days), and then took a recognition test, from which the three levels of representation were derived. Signal detection results from this study reveal that differential patterns at the three levels as a factor of whether the narratives were re-read prior to one week or after one week. In particular, an ANOVA revealed that surface form memory was lower (p = .08) while textbase (p = .02) and event model memory (p = .04) were greater if narratives were re-read 14 days later compared to memory when narratives were re-read 3 days later. These results have implications for what type of memory benefits from distributed practice at various inter-study intervals.

Keywords: memory, event cognition, distributed practice, consolidation

Procedia PDF Downloads 192
6566 Formal Verification of Cache System Using a Novel Cache Memory Model

Authors: Guowei Hou, Lixin Yu, Wei Zhuang, Hui Qin, Xue Yang

Abstract:

Formal verification is proposed to ensure the correctness of the design and make functional verification more efficient. As cache plays a vital role in the design of System on Chip (SoC), and cache with Memory Management Unit (MMU) and cache memory unit makes the state space too large for simulation to verify, then a formal verification is presented for such system design. In the paper, a formal model checking verification flow is suggested and a new cache memory model which is called “exhaustive search model” is proposed. Instead of using large size ram to denote the whole cache memory, exhaustive search model employs just two cache blocks. For cache system contains data cache (Dcache) and instruction cache (Icache), Dcache memory model and Icache memory model are established separately using the same mechanism. At last, the novel model is employed to the verification of a cache which is module of a custom-built SoC system that has been applied in practical, and the result shows that the cache system is verified correctly using the exhaustive search model, and it makes the verification much more manageable and flexible.

Keywords: cache system, formal verification, novel model, system on chip (SoC)

Procedia PDF Downloads 468
6565 Valence Effects on Episodic Memory Retrieval Following Exposure to Arousing Stimuli in Young and Old Adults

Authors: Marianna Constantinou, Hana Burianova, Ala Yankouskaya

Abstract:

Episodic memory retrieval benefits from arousal, with better performance linked to arousing to-be-remembered information. However, the enduring impact of arousal on subsequent memory processes, particularly for non-arousing stimuli, remains unclear. This functional Magnetic Resonance Imaging (fMRI) study examined the effects of arousal on episodic memory processes in young and old adults, focusing on memory of neutral information following arousal exposure. Neural activity was assessed at three distinct timepoints: during exposure to arousing and non-arousing stimuli, memory consolidation (with or without arousing stimulus exposure), and during memory retrieval (with or without arousing stimulus exposure). Behavioural results show that across both age groups, participants performed worse when retrieving episodic memories about a video preceded by a highly arousing negative image. Our fMRI findings reveal three key findings: i) the extension of the influence of negative arousal beyond encoding; ii) the presence of this influence in both young and old adults; iii) and the differential treatment of positive arousal between these age groups. Our findings emphasise valence-specific effects on memory processes and support the enduring impact of negative arousal. We further propose an age-related alteration in the old adult brain in differentiating between positive and negative arousal.

Keywords: episodic memory, ageing, fmri, arousal, valence

Procedia PDF Downloads 16