Overview of Multi-Chip Alternatives for 2.5D and 3D Integrated Circuit Packagings
Commenced in January 2007
Frequency: Monthly
Edition: International
Paper Count: 32807
Overview of Multi-Chip Alternatives for 2.5D and 3D Integrated Circuit Packagings

Authors: Ching-Feng Chen, Ching-Chih Tsai

Abstract:

With the size of the transistor gradually approaching the physical limit, it challenges the persistence of Moore’s Law due to such issues of the short channel effect and the development of the high numerical aperture (NA) lithography equipment. In the context of the ever-increasing technical requirements of portable devices and high-performance computing (HPC), relying on the law continuation to enhance the chip density will no longer support the prospects of the electronics industry. Weighing the chip’s power consumption-performance-area-cost-cycle time to market (PPACC) is an updated benchmark to drive the evolution of the advanced wafer nanometer (nm). The advent of two and half- and three-dimensional (2.5 and 3D)- Very-Large-Scale Integration (VLSI) packaging based on Through Silicon Via (TSV) technology has updated the traditional die assembly methods and provided the solution. This overview investigates the up-to-date and cutting-edge packaging technologies for 2.5D and 3D integrated circuits (IC) based on the updated transistor structure and technology nodes. We conclude that multi-chip solutions for 2.5D and 3D IC packaging can prolong Moore’s Law.

Keywords: Moore’s Law, High Numerical Aperture, Power Consumption-Performance-Area-Cost-Cycle Time to Market, PPACC, 2.5 and 3D-Very-Large-Scale Integration Packaging, Through Silicon Vi.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 148

References:


[1] Moore, G.E., Cramming more components onto integrated circuits. Electronics, 38, (1965)
[2] Moore, G.E., The microprocessor: engine of the technology revolution. Communications of the ACM 40 (2), pp. 112-114 (1997)
[3] Moore, G.E., Moore, G.E., Cramming More Components onto Integrated Circuits. IEEE Xplore, 86, pp. 82-85 (1998)
[4] Loss, D. Quantum phenomena in Nanotechnology, Nanotechnology, 20(43), 430205 (2009)
[5] Ismail, K. E., Bagwell, P. F., Orlando, T. P., Antoniadis D. A. and Smith, H. I. "Quantum phenomena in field-effect-controlled semiconductor nanostructures," in Proceedings of the IEEE, vol. 79, no. 8, pp. 1106-1116 (1991)
[6] Clark, R. Tapily, K. Yu, K.-H. Hakamata, T. Consiglio, S., O’Meara, D., Wajda, C., Smith, J. Leusink, G. Perspective: New process technologies required for future devices and scaling. APL Mater 6 (5): 058203 (2018)
[7] Maser Jian, J. “Tunneling in thin MOS structures,” J. Vac. Sci. Technol., vol. 11, no. 6, pp. 996–1003 (1974)
[8] Lo, S-H. Buchanan, D. A., Taur Y. and Wang, W. "Quantum-mechanical modeling of electron tunneling current from the inversion layer of ultra-thin-oxide nMOSFET's," in IEEE Electron Device Letters, vol. 18, no. 5, pp. 209-211 (1997)
[9] Agarwal, A. Kim, C. H., Mukhopadhyay, S. and Roy, K. "Leakage in nano-scale technologies: mechanisms, impact and design considerations," Proceedings. 41st Design Automation Conference, 2004., San Diego, CA, USA, pp. 6-11 (2004)
[10] Turkot, B., Carson S., Lio, A. "Continuing Moore's law with EUV lithography," 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, pp. 14.4.1-14.4.3 (2017)
[11] Zhang, S., Li, Z., Zhou, H. Li, R., Wang, S., Paik, K.W., He, P. Challenges and recent prospectives of 3D heterogeneous integration, e-Prime - Advances in Electrical Engineering, Electronics and Energy, Volume 2, 100052 (2022)
[12] Lau, J.H. Chiplet Heterogeneous Integration. In: Semiconductor Advanced Packaging. Springer, Singapore. https://doi.org/10.1007/978-981-16-1376-0_9 (2021)
[13] Chen, M.F., Chen, F.C., Chiou W.C., and Yu, D. C. H. "System on Integrated Chips (SoIC(TM) for 3D Heterogeneous Integration," 2019 IEEE 69th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 2019, pp. 594-599, doi: 10.1109/ECTC.2019.00095.
[14] Shen, P.C., Su, C., Lin, Y., Chou, A.S., Chong, C.C., Park, J.H., Chou, M.H., Lu, A.Y., Tang, H.L., Tavakoli, M.M., Pinter, G., Ji, X., Cai, Z., Mao, N., Wang, J., Tung, V., Li, J., Bokor, J., Zettl, A., Wu, C.I., Palacios, T., Li, L.J., Kong, J. Ultralow contact resistance between semimetal and monolayer semiconductors. Nature, 593, pp. 211-217 (2021)
[15] Lapedus, M. Big Trouble at 3nm. Semiconductor Engineering (2018)
[16] Farris, G. Emerging Process and Assembly Challenges in Electronics Manufacturing (2022)
[17] 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits) https://ieeexplore.ieee.org/xpl/conhome/9830116/proceeding (retrieved on 31 July 2022).
[18] Duan, G., Kanaoka, Y., McRee, R., Nie, B., & Manepalli, R. (2021, June). Die embedding challenges for EMIB advanced packaging technology. In 2021 IEEE 71st Electronic Components and Technology Conference (ECTC) (pp. 1-7). IEEE.
[19] Intel Corporation. Embedded Multi-Die Interconnect Bridge. https://www.intel.com/content/www/us/en/silicon-innovations/6-pillars/emib.html (retrieved on 31 July 2022)
[20] Fukushima, T., Alam, A., Hanna, A., Jangam, S.C., Bajwa, A. A. and Iyer, S.S. Die-First FOWLP for High-Performance and Scalable Heterogeneous System Integration. IEEE Transactions on Components, Packaging and Manufacturing Technology, 8 (10) (2018)
[21] Rao, V. S., Chong, C. T., Ho, D., Zhi, D. M., Choong, C. S., Sharon, L. P., ... & Liang, Y. Y. (2016, May). Development of high density fan out wafer level package (HD FOWLP) with multi-layer fine pitch RDL for mobile applications. In 2016 IEEE 66th Electronic Components and Technology Conference (ECTC) (pp. 1522-1529). IEEE.
[22] Lau, J.H., Ko, C.T., Peng, C.Y., Yang, K. M., Xia, T., Lin, P. B., Chen, J.J., Huang, P.C., Tseng, T.J., Lin, E., Chang, L., Lin, C., Lu, W. Chip-Last (RDL-First) Fan-Out Panel-Level Packaging (FOPLP) for Heterogeneous Integration. Journal of Microelectronics and Electronic Packaging, 17 (3), pp. 89-98 (2020)
[23] Bu, L., Che, F. X., Rao, V. S., & Zhang, X. (2019, May). Mechanism of Moldable Underfill (MUF) Process for RDL-1^ st Fan-Out Panel Level Packaging (FOPLP). In 2019 IEEE 69th Electronic Components and Technology Conference (ECTC) (pp. 1152-1158). IEEE.
[24] Yu, D. (2015, June). A new integration technology platform: Integrated fan-out wafer-level-packaging for mobile applications. In 2015 Symposium on VLSI Technology (VLSI Technology) (pp. T46-T47). IEEE.
[25] Tseng, C.F., Liu, C.S., Wu, C.H., Yu, D. InFO (wafer level integrated fan-out) technology. 2016 IEEE 66th Electronic Components and Technology Conference (ECTC), pp. 1-6 (2016)
[26] Huang, P.K., Lu, C. Y., Wei, W.H., Chiu, C., Ting, K.C., Hu, C., Tsai, C.H., Hou, S.Y., Chiou, W.C., Wang, C.T., and Yu, D. Wafer Level System Integration of the Fifth Generation CoWoS®-S with High Performance Si Interposer at 2500 mm2, 2021 IEEE 71st Electronic Components and Technology Conference (ECTC) (2021)
[27] Yu, D. (2021). TSMC packaging technologies for chiplets and 3D. Proceedings of the 2021 IEEE Hot Chips, 33.
[28] TSMC-SoICTM https://3dfabric.tsmc.com/english/dedicatedFoundry/technology/SoIC.htm (retrieved on 25 August 2022)
[29] Meeldijk, V. Integrated Circuit Packages. The Electronic Packaging Handbook (2017)
[30] Tian, W., Li, B., Li, Z., Cui, H., Shi, J., Wang, Y., & Zhao, J. (2022). Using Chiplet Encapsulation Technology to Achieve Processing-in-Memory Functions. Micro machines, 13(10), 1790. MDPI AG. Retrieved from http://dx.doi.org/10.3390/mi13101790
[31] Motohashi, N., Kimura, T., Mineo, K., Yamada, Y., Nishiyama, T., Shibuya, K., ... & Kawano, M. System in wafer-level package technology with RDL-first process. In 2011 IEEE 61st Electronic Components and Technology Conference (ECTC), pp. 59-64 (2011)
[32] Lau, J. H. FOWLP: Chip-Last or RDL-First. In Fan-Out Wafer-Level Packaging. Springer, Singapore, pp. 195-206 (2018).
[33] Farris, G. "Emerging Process and Assembly Challenges in Electronics Manufacturing," 2020 International Wafer Level Packaging Conference (IWLPC), San Jose, CA, USA, pp. 1-6 (2020)
[34] Tummala, R., Sundaram, V., VanessaSmet, P.M. R., Shi, T. Future of embedding and fan-out technologies, EDA365 (2019)
[35] Tummala, R., Sundaram, V., Raj, P. M., & Smet, V. Future of embedding and fan-out technologies. In 2017 Pan Pacific Microelectronics Symposium (Pan Pacific) IEEE, pp. 1-9 (2017).
[36] Lin, T. H., Raj, P. M., Watanabe, A., Sundaram, V. Tummala R., and Tentzeris, M. M. "Nanostructured miniaturized artificial magnetic conductors (AMC) for high-performance antennas in 5G, IoT, and smart skin applications," 2017 IEEE 17th International Conference on Nanotechnology (IEEE-NANO), Pittsburgh, PA, USA, pp. 911-915 (2017)
[37] Intel Corporation. Intel's Foveros technology https://www.intel.com/content/www/us/en/corporate/usa-chipmaking/news-and-resources/video-intel-foveros-technology-explained.html (retrieved on 31 July 2022)
[38] Prasad, C., Chugh, S., Greve, H., Ho, I. C., Kabir, E., Lin, C., ... & Pantuso, technology for logic-on-logic die stacking. In 2020 IEEE International Reliability Physics Symposium (IRPS) pp. 1-5. (2020).
[39] Samsung Electronics. Samsung Electronics Develops Industry’s First 12-Layer 3D-TSV Chip Packaging Technology. https://news.samsung.com/global/samsung-electronics-develops-industrys-first-12-layer-3d-tsv-chip-packaging-technology (retrieved on 31 July 2022)
[40] Intel Corporation. Introducing PowerVia and RibbonFET. https://www.intel.com.tw/content/www/tw/zh/silicon-innovations/6-pillars/process.html (retrieved on 31 July 2022)