Search results for: delay preserving substructures
Commenced in January 2007
Frequency: Monthly
Edition: International
Paper Count: 706

Search results for: delay preserving substructures

706 Delay Preserving Substructures in Wireless Networks Using Edge Difference between a Graph and its Square Graph

Authors: T. N. Janakiraman, J. Janet Lourds Rani

Abstract:

In practice, wireless networks has the property that the signal strength attenuates with respect to the distance from the base station, it could be better if the nodes at two hop away are considered for better quality of service. In this paper, we propose a procedure to identify delay preserving substructures for a given wireless ad-hoc network using a new graph operation G 2 – E (G) = G* (Edge difference of square graph of a given graph and the original graph). This operation helps to analyze some induced substructures, which preserve delay in communication among them. This operation G* on a given graph will induce a graph, in which 1- hop neighbors of any node are at 2-hop distance in the original network. In this paper, we also identify some delay preserving substructures in G*, which are (i) set of all nodes, which are mutually at 2-hop distance in G that will form a clique in G*, (ii) set of nodes which forms an odd cycle C2k+1 in G, will form an odd cycle in G* and the set of nodes which form a even cycle C2k in G that will form two disjoint companion cycles ( of same parity odd/even) of length k in G*, (iii) every path of length 2k+1 or 2k in G will induce two disjoint paths of length k in G*, and (iv) set of nodes in G*, which induces a maximal connected sub graph with radius 1 (which identifies a substructure with radius equal 2 and diameter at most 4 in G). The above delay preserving sub structures will behave as good clusters in the original network.

Keywords: Clique, cycles, delay preserving substructures, maximal connected sub graph.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1214
705 Optimization of Communication Protocols by stochastic Delay Mechanisms

Authors: J. Levendovszky, I. Koncz, P. Boros

Abstract:

The paper is concerned with developing stochastic delay mechanisms for efficient multicast protocols and for smooth mobile handover processes which are capable of preserving a given Quality of Service (QoS). In both applications the participating entities (receiver nodes or subscribers) sample a stochastic timer and generate load after a random delay. In this way, the load on the networking resources is evenly distributed which helps to maintain QoS communication. The optimal timer distributions have been sought in different p.d.f. families (e.g. exponential, power law and radial basis function) and the optimal parameter have been found in a recursive manner. Detailed simulations have demonstrated the improvement in performance both in the case of multicast and mobile handover applications.

Keywords: Multicast communication, stochactic delay mechanisms.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1504
704 Globally Exponential Stability and Dissipativity Analysis of Static Neural Networks with Time Delay

Authors: Lijiang Xiang, Shouming Zhong, Yucai Ding

Abstract:

The problems of globally exponential stability and dissipativity analysis for static neural networks (NNs) with time delay is investigated in this paper. Some delay-dependent stability criteria are established for static NNs with time delay using the delay partitioning technique. In terms of this criteria, the delay-dependent sufficient condition is given to guarantee the dissipativity of static NNs with time delay. All the given results in this paper are not only dependent upon the time delay but also upon the number of delay partitions. Two numerical examples are used to show the effectiveness of the proposed methods.

Keywords: Globally exponential stability, Dissipativity, Static neural networks, Time delay.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1481
703 Stability Analysis of Mutualism Population Model with Time Delay

Authors: Rusliza Ahmad, Harun Budin

Abstract:

This paper studies the effect of time delay on stability of mutualism population model with limited resources for both species. First, the stability of the model without time delay is analyzed. The model is then improved by considering a time delay in the mechanism of the growth rate of the population. We analyze the effect of time delay on the stability of the stable equilibrium point. Result showed that the time delay can induce instability of the stable equilibrium point, bifurcation and stability switches.

Keywords: Bifurcation, Delay margin, Mutualism population model, Time delay

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1932
702 Periodic Oscillations in a Delay Population Model

Authors: Changjin Xu, Peiluan Li

Abstract:

In this paper, a nonlinear delay population model is investigated. Choosing the delay as a bifurcation parameter, we demonstrate that Hopf bifurcation will occur when the delay exceeds a critical value. Global existence of bifurcating periodic solutions is established. Numerical simulations supporting the theoretical findings are included.

Keywords: Population model, Stability, Hopf bifurcation, Delay, Global Hopf bifurcation.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1703
701 New Delay-Dependent Stability Criteria for Neural Networks With Two Additive Time-varying Delay Components

Authors: Xingyuan Qu, Shouming Zhong

Abstract:

In this paper, the problem of stability criteria of neural networks (NNs) with two-additive time-varying delay compenents is investigated. The relationship between the time-varying delay and its lower and upper bounds is taken into account when estimating the upper bound of the derivative of Lyapunov functional. As a result, some improved delay stability criteria for NNs with two-additive time-varying delay components are proposed. Finally, a numerical example is given to illustrate the effectiveness of the proposed method.

Keywords: Delay-dependent stability, time-varying delays, Lyapunov functional, linear matrix inequality (LMI).

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1565
700 An Efficient Algorithm for Delay Delay-variation Bounded Least Cost Multicast Routing

Authors: Manas Ranjan Kabat, Manoj Kumar Patel, Chita Ranjan Tripathy

Abstract:

Many multimedia communication applications require a source to transmit messages to multiple destinations subject to quality of service (QoS) delay constraint. To support delay constrained multicast communications, computer networks need to guarantee an upper bound end-to-end delay from the source node to each of the destination nodes. This is known as multicast delay problem. On the other hand, if the same message fails to arrive at each destination node at the same time, there may arise inconsistency and unfairness problem among users. This is related to multicast delayvariation problem. The problem to find a minimum cost multicast tree with delay and delay-variation constraints has been proven to be NP-Complete. In this paper, we propose an efficient heuristic algorithm, namely, Economic Delay and Delay-Variation Bounded Multicast (EDVBM) algorithm, based on a novel heuristic function, to construct an economic delay and delay-variation bounded multicast tree. A noteworthy feature of this algorithm is that it has very high probability of finding the optimal solution in polynomial time with low computational complexity.

Keywords: EDVBM, Heuristic algorithm, Multicast tree, QoS routing, Shortest path.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1578
699 Causes of Final Account Closing Delay: A Theoretical Framework

Authors: Zarabizan Zakaria, Syuhaida Ismail, Aminah Md. Yusof

Abstract:

Delay can be defined as time overrun or extension of time to complete the project. There are high possibilities that delay issues in final account closing cannot be avoided especially in construction project in Malaysia which is unique and dynamic in the terms of nature of design and technical skill. Delay in final account closing is a situation when the actual planning (time and budget allocation) of a construction project exceeds the planned schedule or on the other hand, final account closing exceeds the time and other provisions specified in the contract. The causes of delay discussed in this paper are appraised from the literature review. There are two main types of delay: excusable delay and non-excusable delay. The literature reviews on the delay in final account closing which is then translated into a theoretical framework are summarized in the context of construction players and academician perspective. It is anticipated that the finding reported in this paper could assist the planning of future strategies and guidelines of final account closing for the betterment of construction projects in Malaysia.

Keywords: Construction industry, construction contract, final account closing, delay.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 4651
698 Delay-Dependent Stability Analysis for Neutral Type Neural Networks with Uncertain Parameters and Time-Varying Delay

Authors: Qingqing Wang, Shouming Zhong

Abstract:

In this paper, delay-dependent stability analysis for neutral type neural networks with uncertain paramters and time-varying delay is studied. By constructing new Lyapunov-Krasovskii functional and dividing the delay interval into multiple segments, a novel sufficient condition is established to guarantee the globally asymptotically stability of the considered system. Finally, a numerical example is provided to illustrate the usefulness of the proposed main results.

Keywords: Neutral type neural networks, Time-varying delay, Stability, Linear matrix inequality(LMI).

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1761
697 Identification of the Causes of Construction Delay in Malaysia

Authors: N. Hamzah, M.A. Khoiry, I. Arshad, W.H.W. Badaruzzaman, N. M. Tawil

Abstract:

Construction delay is unavoidable in developing countries including Malaysia. It is defined as time overrun or extension of time for completion of a project. The purpose of the study is to determine the causes of delay in Malaysian construction industries based on previous worldwide research. The field survey conducted includes the experienced developers, consultants and contractors in Malaysia. 34 causes of the construction delay have been determined and 24 have been selected using the Rasch model analysis. The analysis result will be used as the baseline for the next research to find the causes of delay in the Malaysian construction industry taking place in Malaysian higher learning institutions.

Keywords: Causes of construction delay, construction projects, Malaysian construction industry, Rasch model analysis.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 7502
696 Delay-Dependent Stability Criteria for Linear Time-Delay System of Neutral Type

Authors: Myeongjin Park, Ohmin Kwon, Juhyun Park, Sangmoon Lee

Abstract:

This paper proposes improved delay-dependent stability conditions of the linear time-delay systems of neutral type. The proposed methods employ a suitable Lyapunov-Krasovskii’s functional and a new form of the augmented system. New delay-dependent stability criteria for the systems are established in terms of Linear matrix inequalities (LMIs) which can be easily solved by various effective optimization algorithms. Numerical examples showed that the proposed method is effective and can provide less conservative results.

Keywords: Neutral systems, Time-delay, Stability, Lyapunovmethod, LMI.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1829
695 Self-tuned LMS Algorithm for Sinusoidal Time Delay Tracking

Authors: Jonah Gamba

Abstract:

In this paper the problem of estimating the time delay between two spatially separated noisy sinusoidal signals by system identification modeling is addressed. The system is assumed to be perturbed by both input and output additive white Gaussian noise. The presence of input noise introduces bias in the time delay estimates. Normally the solution requires a priori knowledge of the input-output noise variance ratio. We utilize the cascade of a self-tuned filter with the time delay estimator, thus making the delay estimates robust to input noise. Simulation results are presented to confirm the superiority of the proposed approach at low input signal-to-noise ratios.

Keywords: LMS algorithm, Self-tuned filter, Systemidentification, Time delay estimation, .

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1537
694 Stability and Bifurcation Analysis of a Discrete Gompertz Model with Time Delay

Authors: Yingguo Li

Abstract:

In this paper, we consider a discrete Gompertz model with time delay. Firstly, the stability of the equilibrium of the system is investigated by analyzing the characteristic equation. By choosing the time delay as a bifurcation parameter, we prove that Neimark- Sacker bifurcations occur when the delay passes a sequence of critical values. The direction and stability of the Neimark-Sacker are determined by using normal forms and centre manifold theory. Finally, some numerical simulations are given to verify the theoretical analysis.

Keywords: Gompertz system, Neimark-Sacker bifurcation, stability, time delay.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1881
693 DIFFER: A Propositionalization approach for Learning from Structured Data

Authors: Thashmee Karunaratne, Henrik Böstrom

Abstract:

Logic based methods for learning from structured data is limited w.r.t. handling large search spaces, preventing large-sized substructures from being considered by the resulting classifiers. A novel approach to learning from structured data is introduced that employs a structure transformation method, called finger printing, for addressing these limitations. The method, which generates features corresponding to arbitrarily complex substructures, is implemented in a system, called DIFFER. The method is demonstrated to perform comparably to an existing state-of-art method on some benchmark data sets without requiring restrictions on the search space. Furthermore, learning from the union of features generated by finger printing and the previous method outperforms learning from each individual set of features on all benchmark data sets, demonstrating the benefit of developing complementary, rather than competing, methods for structure classification.

Keywords: Machine learning, Structure classification, Propositionalization.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1175
692 Contention Window Adjustment in IEEE 802.11-Based Industrial Wireless Networks

Authors: Mohsen Maadani, Seyed Ahmad Motamedi

Abstract:

The use of wireless technology in industrial networks has gained vast attraction in recent years. In this paper, we have thoroughly analyzed the effect of contention window (CW) size on the performance of IEEE 802.11-based industrial wireless networks (IWN), from delay and reliability perspective. Results show that the default values of CWmin, CWmax, and retry limit (RL) are far from the optimum performance due to the industrial application characteristics, including short packet and noisy environment. In this paper, an adaptive CW algorithm (payload-dependent) has been proposed to minimize the average delay. Finally a simple, but effective CW and RL setting has been proposed for industrial applications which outperforms the minimum-average-delay solution from maximum delay and jitter perspective, at the cost of a little higher average delay. Simulation results show an improvement of up to 20%, 25%, and 30% in average delay, maximum delay and jitter respectively.

Keywords: Average Delay, Contention Window, Distributed Coordination Function (DCF), Jitter, Industrial Wireless Network (IWN), Maximum Delay, Reliability, Retry Limit.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1987
691 PI Control for Second Order Delay System with Tuning Parameter Optimization

Authors: R. Farkh, K. Laabidi, M. Ksouri

Abstract:

In this paper, we consider the control of time delay system by Proportional-Integral (PI) controller. By Using the Hermite- Biehler theorem, which is applicable to quasi-polynomials, we seek a stability region of the controller for first order delay systems. The essence of this work resides in the extension of this approach to second order delay system, in the determination of its stability region and the computation of the PI optimum parameters. We have used the genetic algorithms to lead the complexity of the optimization problem.

Keywords: Genetic algorithm, Hermit-Biehler theorem, optimization, PI controller, second order delay system, stability region.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1728
690 Leader-following Consensus Criterion for Multi-agent Systems with Probabilistic Self-delay

Authors: M.J. Park, K.H. Kim, O.M. Kwon

Abstract:

This paper proposes a delay-dependent leader-following consensus condition of multi-agent systems with both communication delay and probabilistic self-delay. The proposed methods employ a suitable piecewise Lyapunov-Krasovskii functional and the average dwell time approach. New consensus criterion for the systems are established in terms of linear matrix inequalities (LMIs) which can be easily solved by various effective optimization algorithms. Numerical example showed that the proposed method is effective.

Keywords: Multi-agent systems, probabilistic self-delay, consensus, Lyapunov method, LMI.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1705
689 An Active Rectifier with Time-Domain Delay Compensation to Enhance the Power Conversion Efficiency

Authors: Shao-Ku Kao

Abstract:

This paper presents an active rectifier with time-domain delay compensation to enhance the efficiency. A delay calibration circuit is designed to convert delay time to voltage and adaptive control on/off delay in variable input voltage. This circuit is designed in 0.18 mm CMOS process. The input voltage range is from 2 V to 3.6 V with the output voltage from 1.8 V to 3.4 V. The efficiency can maintain more than 85% when the load from 50 Ω ~ 1500 Ω for 3.6 V input voltage. The maximum efficiency is 92.4 % at output power to be 38.6 mW for 3.6 V input voltage.

Keywords: Wireless power transfer, active diode, delay compensation, time to voltage converter, PCE.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 709
688 Delay Specific Investigations on QoS Scheduling Schemes for Real-Time Traffic in Packet Switched Networks

Authors: P.S.Prakash, S.Selvan

Abstract:

Packet switched data network like Internet, which has traditionally supported throughput sensitive applications such as email and file transfer, is increasingly supporting delay-sensitive multimedia applications such as interactive video. These delaysensitive applications would often rather sacrifice some throughput for better delay. Unfortunately, the current packet switched network does not offer choices, but instead provides monolithic best-effort service to all applications. This paper evaluates Class Based Queuing (CBQ), Coordinated Earliest Deadline First (CEDF), Weighted Switch Deficit Round Robin (WSDRR) and RED-Boston scheduling schemes that is sensitive to delay bound expectations for variety of real time applications and an enhancement of WSDRR is proposed.

Keywords: QoS, Delay-sensitive, Queuing delay, Scheduling

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1467
687 Reachable Set Bounding Estimation for Distributed Delay Systems with Disturbances

Authors: Li Xu, Shouming Zhong

Abstract:

The reachable set bounding estimation for distributed delay systems with disturbances is a new problem. In this paper,we consider this problem subject to not only time varying delay and polytopic uncertainties but also distributed delay systems which is not studied fully untill now. we can obtain improved non-ellipsoidal reachable set estimation for neural networks with time-varying delay by the maximal Lyapunov-Krasovskii fuctional which is constructed as the pointwise maximum of a family of Lyapunov-Krasovskii fuctionals corresponds to vertexes of uncertain polytope.On the other hand,matrix inequalities containing only one scalar and Matlabs LMI Toolbox is utilized to give a non-ellipsoidal description of the reachable set.finally,numerical examples are given to illustrate the existing results.

Keywords: Reachable set, Distributed delay, Lyapunov-Krasovskii function, Polytopic uncertainties.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1813
686 A Robust Frequency Offset Estimation Scheme for OFDM System with Cyclic Delay Diversity

Authors: Won-Jae Shin, Young-Hwan You

Abstract:

Cyclic delay diversity (CDD) is a simple technique to intentionally increase frequency selectivity of channels for orthogonal frequency division multiplexing (OFDM).This paper proposes a residual carrier frequency offset (RFO) estimation scheme for OFDMbased broadcasting system using CDD. In order to improve the RFO estimation, this paper addresses a decision scheme of the amount of cyclic delay and pilot pattern used to estimate the RFO. By computer simulation, the proposed estimator is shown to benefit form propoerly chosen delay parameter and perform robustly.

Keywords: OFDM, cyclic delay diversity, FM system, synchronization

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1713
685 A Necessary Condition for the Existence of Chaos in Fractional Order Delay Differential Equations

Authors: Sachin Bhalekar

Abstract:

In this paper we propose a necessary condition for the existence of chaos in delay differential equations of fractional order. To explain the proposed theory, we discuss fractional order Liu system and financial system involving delay.

Keywords: Caputo derivative, delay, stability, chaos.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2619
684 Delay-range-Dependent Exponential Synchronization of Lur-e Systems with Markovian Switching

Authors: Xia Zhou, Shouming Zhong

Abstract:

The problem of delay-range-dependent exponential synchronization is investigated for Lur-e master-slave systems with delay feedback control and Markovian switching. Using Lyapunov- Krasovskii functional and nonsingular M-matrix method, novel delayrange- dependent exponential synchronization in mean square criterions are established. The systems discussed in this paper is advanced system, and takes all the features of interval systems, Itˆo equations, Markovian switching, time-varying delay, as well as the environmental noise, into account. Finally, an example is given to show the validity of the main result.

Keywords: Synchronization, delay-range-dependent, Markov chain, generalized Itō's formula, brownian motion, M-matrix.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1519
683 Design of Smith-like Predictive Controller with Communication Delay Adaptation

Authors: Jasmin Velagic

Abstract:

This paper addresses the design of predictive networked controller with adaptation of a communication delay. The networked control system contains random delays from sensor to controller and from controller to actuator. The proposed predictive controller includes an adaptation loop which decreases the influence of communication delay on the control performance. Also, the predictive controller contains a filter which improves the robustness of the control system. The performance of the proposed adaptive predictive controller is demonstrated by simulation results in comparison with PI controller and predictive controller with constant delay.

Keywords: Predictive control, adaptation, communication delay, communication network.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1808
682 Design of Stable IIR Digital Filters with Specified Group Delay Errors

Authors: Yasunori Sugita, Toshinori Yoshikawa

Abstract:

The design problem of Infinite Impulse Response (IIR) digital filters is usually expressed as the minimization problem of the complex magnitude error that includes both the magnitude and phase information. However, the group delay of the filter obtained by solving such design problem may be far from the desired group delay. In this paper, we propose a design method of stable IIR digital filters with prespecified maximum group delay errors. In the proposed method, the approximation problems of the magnitude-phase and group delay are separately defined, and these two approximation problems are alternately solved using successive projections. As a result, the proposed method can design the IIR filters that satisfy the prespecified allowable errors for not only the complex magnitude but also the group delay by alternately executing the coefficient update for the magnitude-phase and the group delay approximation. The usefulness of the proposed method is verified through some examples.

Keywords: Filter design, Group delay approximation, Stable IIRfilters, Successive projection method.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1509
681 Delay-Independent Closed-Loop Stabilization of Neutral System with Infinite Delays

Authors: I. Davies, O. L. C. Haas

Abstract:

In this paper, the problem of stability and stabilization for neutral delay-differential systems with infinite delay is investigated. Using Lyapunov method, new delay-independent sufficient condition for the stability of neutral systems with infinite delay is obtained in terms of linear matrix inequality (LMI). Memory-less state feedback controllers are then designed for the stabilization of the system using the feasible solution of the resulting LMI, which are easily solved using any optimization algorithms. Numerical examples are given to illustrate the results of the proposed methods.

Keywords: Infinite delays, Lyapunov method, linear matrix inequality, neutral systems, stability.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2712
680 Fast Complex Valued Time Delay Neural Networks

Authors: Hazem M. El-Bakry, Qiangfu Zhao

Abstract:

Here, a new idea to speed up the operation of complex valued time delay neural networks is presented. The whole data are collected together in a long vector and then tested as a one input pattern. The proposed fast complex valued time delay neural networks uses cross correlation in the frequency domain between the tested data and the input weights of neural networks. It is proved mathematically that the number of computation steps required for the presented fast complex valued time delay neural networks is less than that needed by classical time delay neural networks. Simulation results using MATLAB confirm the theoretical computations.

Keywords: Fast Complex Valued Time Delay Neural Networks, Cross Correlation, Frequency Domain

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1776
679 Transient Analysis & Performance Estimation of Gate Inside Junctionless Transistor (GI-JLT)

Authors: Sangeeta Singh, Pankaj Kumar, P. N. Kondekar

Abstract:

In this paper, the transient device performance analysis of n-type Gate Inside JunctionLess Transistor (GI-JLT) has been evaluated. 3-D Bohm Quantum Potential (BQP) transport device simulation has been used to evaluate the delay and power dissipation performance. GI-JLT has a number of desirable device parameters such as reduced propagation delay, dynamic power dissipation, power and delay product, intrinsic gate delay and energy delay product as compared to Gate-all-around transistors GAA-JLT. In addition to this, various other device performance parameters namely, on/off current ratio, short channel effects (SCE), transconductance Generation Factor (TGF) and unity gain cut-off frequency (fT ) and subthreshold slope (SS) of the GI-JLT and GAA-JLT have been analyzed and compared. GI-JLT shows better device performance characteristics than GAA-JLT for low power and high frequency applications, because of its larger gate electrostatic control on the device operation.

Keywords: Gate-inside junctionless transistor GI-JLT, Gate-all-around junctionless transistor GAA-JLT, propagation delay, power delay product.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2391
678 Response Delay Model: Bridging the Gap in Urban Fire Disaster Response System

Authors: Sulaiman Yunus

Abstract:

The need for modeling response to urban fire disaster cannot be over emphasized, as recurrent fire outbreaks have gutted most cities of the world. This necessitated the need for a prompt and efficient response system in order to mitigate the impact of the disaster. Promptness, as a function of time, is seen to be the fundamental determinant for efficiency of a response system and magnitude of a fire disaster. Delay, as a result of several factors, is one of the major determinants of promptgness of a response system and also the magnitude of a fire disaster. Response Delay Model (RDM) intends to bridge the gap in urban fire disaster response system through incorporating and synchronizing the delay moments in measuring the overall efficiency of a response system and determining the magnitude of a fire disaster. The model identified two delay moments (pre-notification and Intra-reflex sequence delay) that can be elastic and collectively plays a significant role in influencing the efficiency of a response system. Due to variation in the elasticity of the delay moments, the model provides for measuring the length of delays in order to arrive at a standard average delay moment for different parts of the world, putting into consideration geographic location, level of preparedness and awareness, technological advancement, socio-economic and environmental factors. It is recommended that participatory researches should be embarked on locally and globally to determine standard average delay moments within each phase of the system so as to enable determining the efficiency of response systems and predicting fire disaster magnitudes.

Keywords: Delay moment, fire disaster, reflex sequence, response, response delay moment.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 666
677 Existence of Solution for Boundary Value Problems of Differential Equations with Delay

Authors: Xiguang Li

Abstract:

In this paper , by using fixed point theorem , upper and lower solution-s method and monotone iterative technique , we prove the existence of maximum and minimum solutions of differential equations with delay , which improved and generalize the result of related paper.

Keywords: Banach space, boundary value problem, differential equation, delay.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1183