Search results for: Low Power Design
Commenced in January 2007
Frequency: Monthly
Edition: International
Paper Count: 7102

Search results for: Low Power Design

7072 A New Approach to Design Low Power Continues-Time Sigma-Delta Modulators

Authors: E. Farshidi

Abstract:

This paper presents the design of a low power second-order continuous-time sigma-delta modulator for low power applications. The loop filter of this modulator has been implemented based on the nonlinear transconductance-capacitor (Gm-C) by employing current-mode technique. The nonlinear transconductance uses floating gate MOS (FG-MOS) transistors that operate in weak inversion region. The proposed modulator features low power consumption (<80uW), low supply voltage (1V) and 62dB dynamic range. Simulation results by HSPICE confirm that it is very suitable for low power biomedical instrumentation designs.

Keywords: Sigma-delta, modulator, Current-mode, Nonlinear Transconductance, FG-MOS.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1472
7071 Component Criticality Importance Measures in Thermal Power Plants Design

Authors: Smajo Bisanovic, Mensur Hajro, Mersiha Samardzic

Abstract:

This paper presents quantitative component criticality importance indices applicable for identifying and ranking critical components in the phase of thermal power plants design. Identifying critical components for power plant reliability provides one important input to decision-making and guidance throughout the development project. The study of components criticality importance indices to several characteristic structural schemes of conventional thermal power plant is presented and discussed.

Keywords: Component criticality importance measures, discrete event, reliability, thermal power plant.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2466
7070 Design of Power System Stabilizer with Neuro-Fuzzy UPFC Controller

Authors: U. Ramesh Babu, V. Vijay Kumar Reddy, S. Tara Kalyani

Abstract:

The growth in the demand of electrical energy is leading to load on the Power system which increases the occurrence of frequent oscillations in the system. The reason for the oscillations is due to the lack of damping torque which is required to dominate the disturbances of Power system. By using FACT devices, such as Unified Power Flow Controller (UPFC) can control power flow, reduce sub-synchronous resonances and increase transient stability. Hence, UPFC is used to damp the oscillations occurred in Power system. This research focuses on adapting the neuro fuzzy controller for the UPFC design by connecting the infinite bus (SMIB - Single machine Infinite Bus) to a linearized model of synchronous machine (Heffron-Phillips) in the power system. This model gains the capability to improve the transient stability and to damp the oscillations of the system.

Keywords: Power System, UPFC, (ANFIS) Adaptive Neuro Fuzzy Inference System, transient, Low frequency oscillations.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1941
7069 A Low Power High Frequency CMOS RF Four Quadrant Analog Mixer

Authors: M. Aleshams, A. Shahsavandi

Abstract:

This paper describes a CMOS four-quadrant multiplier intended for use in the front-end receiver by utilizing the square-law characteristic of the MOS transistor in the saturation region. The circuit is based on 0.35 um CMOS technology simulated using HSPICE software. The mixer has a third-order inter the power consumption is 271uW from a single 1.2V power supply. One of the features of the proposed design is using two MOS transistors limitation to reduce the supply voltage, which leads to reduce the power consumption. This technique provides a GHz bandwidth response and low power consumption.

Keywords: RF-Mixer, Multiplier, cut-off frequency, power consumption

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1964
7068 Power Integrity Analysis of Power Delivery System in High Speed Digital FPGA Board

Authors: Anil Kumar Pandey

Abstract:

Power plane noise is the most significant source of signal integrity (SI) issues in a high-speed digital design. In this paper, power integrity (PI) analysis of multiple power planes in a power delivery system of a 12-layer high-speed FPGA board is presented. All 10 power planes of HSD board are analyzed separately by using 3D Electromagnetic based PI solver, then the transient simulation is performed on combined PI data of all planes along with voltage regulator modules (VRMs) and 70 current drawing chips to get the board level power noise coupling on different high-speed signals. De-coupling capacitors are placed between power planes and ground to reduce power noise coupling with signals.

Keywords: Channel simulation, electromagnetic simulation, power-aware signal integrity analysis, power integrity, PIPro.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2233
7067 A Two-Way Wilkinson Power Divider Realized Using One Eighth Wave Transmission Line for GSM Application

Authors: G. Kalpanadevi, S. Ravimaran, M. Shanmugapriya

Abstract:

In this paper, a modified Wilkinson power divider for GSM application is presented. The quarter–wavelength microstrip lines in the conventional Wilkinson power divider (WPD) are replaced by one-eighth wavelength transmission line. Wilkinson power divider is designed using λ/4 and λ/8 transmission line. It has the operating frequency of 915 MHz which is used in the GSM standard. The proposed Wilkinson Power Divider is designed using the simulation tool Advanced Design System. The results of λ/8 transmission line are very close to the results of λ/4 transmission line. The isolation loss of λ/8 transmission line is improved by introducing a capacitor between the output ports. The proposed Wilkinson power divider has the best return loss of greater than -10 dB and isolation loss of -15.25 dB. The λ/8 transmission line Wilkinson power divider has the reduced size of 53.9 percentages than λ/4 transmission line WPD. The proposed design has simple structure, better isolation loss and good insertion loss.

Keywords: Wilkinson Power Divider, Quarter wave line, one eighth wave transmission line, microstrip line.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2460
7066 Analysis and Design of Inductive Power Transfer Systems for Automotive Battery Charging Applications

Authors: Wahab Ali Shah, Junjia He

Abstract:

Transferring electrical power without any wiring has been a dream since late 19th century. There were some advances in this area as to know more about microwave systems. However, this subject has recently become very attractive due to their practiScal systems. There are low power applications such as charging the batteries of contactless tooth brushes or implanted devices, and higher power applications such as charging the batteries of electrical automobiles or buses. In the first group of applications operating frequencies are in microwave range while the frequency is lower in high power applications. In the latter, the concept is also called inductive power transfer. The aim of the paper is to have an overview of the inductive power transfer for electrical vehicles with a special concentration on coil design and power converter simulation for static charging. Coil design is very important for an efficient and safe power transfer. Coil design is one of the most critical tasks. Power converters are used in both side of the system. The converter on the primary side is used to generate a high frequency voltage to excite the primary coil. The purpose of the converter in the secondary is to rectify the voltage transferred from the primary to charge the battery. In this paper, an inductive power transfer system is studied. Inductive power transfer is a promising technology with several possible applications. Operation principles of these systems are explained, and components of the system are described. Finally, a single phase 2 kW system was simulated and results were presented. The work presented in this paper is just an introduction to the concept. A reformed compensation network based on traditional inductor-capacitor-inductor (LCL) topology is proposed to realize robust reaction to large coupling variation that is common in dynamic wireless charging application. In the future, this type compensation should be studied. Also, comparison of different compensation topologies should be done for the same power level.

Keywords: Coil design, contactless charging, electrical automobiles, inductive power transfer, operating frequency.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 934
7065 A Power-Gating Scheme to Reduce Leakage Power for P-type Adiabatic Logic Circuits

Authors: Hong Li, Linfeng Li, Jianping Hu

Abstract:

With rapid technology scaling, the proportion of the static power consumption catches up with dynamic power consumption gradually. To decrease leakage consumption is becoming more and more important in low-power design. This paper presents a power-gating scheme for P-DTGAL (p-type dual transmission gate adiabatic logic) circuits to reduce leakage power dissipations under deep submicron process. The energy dissipations of P-DTGAL circuits with power-gating scheme are investigated in different processes, frequencies and active ratios. BSIM4 model is adopted to reflect the characteristics of the leakage currents. HSPICE simulations show that the leakage loss is greatly reduced by using the P-DTGAL with power-gating techniques.

Keywords: Leakage reduction, low power, deep submicronCMOS circuits, P-type adiabatic circuits.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1890
7064 Switched Reluctance Generator for Wind Power Applications

Authors: M. Nassereddine, J. Rizk, M. Nagrial

Abstract:

Green house effect has becomes a serious concern in many countries due to the increase consumption of the fossil fuel. There have been many studies to find an alternative power source. Wind energy found to be one of the most useful solutions to help in overcoming the air pollution and global. There is no agreed solution to conversion of wind energy to electrical energy. In this paper, the advantages of using a Switched Reluctance Generator (SRG) for wind energy applications. The theoretical study of the self excitation of a SRG and the determination of the variable parameters in a SRG design are discussed. The design parameters for the maximum power output of the SRG are computed using Matlab simulation. The designs of the circuit to control the variable parameters in a SRG to provide the maximum power output are also discussed.

Keywords: Switched Reluctance Generator, Wind Power, Electrical Machines.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2944
7063 Design and Study of a DC/DC Converter for High Power, 14.4 V and 300 A for Automotive Applications

Authors: Julio Cesar Lopes de Oliveira, Carlos Henrique Gonc¸alves Treviso

Abstract:

The shortage of the automotive market in relation to options for sources of high power car audio systems, led to development of this work. Thus, we developed a source with stabilized voltage with 4320 W effective power. Designed to the voltage of 14.4 V and a choice of two currents: 30 A load option in battery banks and 300 A at full load. This source can also be considered as a source of general use dedicated commercial with a simple control circuit in analog form based on discrete components. The assembly of power circuit uses a methodology for higher power than the initially stipulated.

Keywords: DC-DC power converters, converters, power convertion, pulse width modulation converters.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2859
7062 Power Forecasting of Photovoltaic Generation

Authors: S. H. Oudjana, A. Hellal, I. Hadj Mahammed

Abstract:

Photovoltaic power generation forecasting is an important task in renewable energy power system planning and operating. This paper explores the application of neural networks (NN) to study the design of photovoltaic power generation forecasting systems for one week ahead using weather databases include the global irradiance, and temperature of Ghardaia city (south of Algeria) using a data acquisition system. Simulations were run and the results are discussed showing that neural networks Technique is capable to decrease the photovoltaic power generation forecasting error.

Keywords: Photovoltaic Power Forecasting, Regression, Neural Networks.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 3701
7061 Design and Implementation of DC-DC Converter with Inc-Cond Algorithm

Authors: Mustafa Engin Basoğlu, Bekir Çakır

Abstract:

The most important component affecting the efficiency of photovoltaic power systems are solar panels. In other words, efficiency of these systems are significantly affected due to the being low efficiency of solar panel. Thus, solar panels should be operated under maximum power point conditions through a power converter. In this study, design of boost converter has been carried out with maximum power point tracking (MPPT) algorithm which is incremental conductance (Inc-Cond). By using this algorithm, importance of power converter in MPPT hardware design, impacts of MPPT operation have been shown. It is worth noting that initial operation point is the main criteria for determining the MPPT performance. In addition, it is shown that if value of load resistance is lower than critical value, failure operation is realized. For these analyzes, direct duty control is used for simplifying the control.

Keywords: Boost converter, Incremental Conductance (Inc- Cond), MPPT, Solar panel.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 3583
7060 New EEM/BEM Hybrid Method for Electric Field Calculation in Cable Joints

Authors: Nebojsa B. Raicevic, Slavoljub R. Aleksic, Sasa S. Ilic

Abstract:

A power cable is widely used for power supply in power distributing networks and power transmission lines. Due to limitations in the production, delivery and setting up power cables, they are produced and delivered in several separate lengths. Cable itself, consists of two cable terminations and arbitrary number of cable joints, depending on the cable route length. Electrical stress control is needed to prevent a dielectric breakdown at the end of the insulation shield in both the air and cable insulation. Reliability of cable joint depends on its materials, design, installation and operating environment. The paper describes design and performance results for new modeled cable joints. Design concepts, based on numerical calculations, must be correct. An Equivalent Electrodes Method/Boundary Elements Method-hybrid approach that allows electromagnetic field calculations in multilayer dielectric media, including inhomogeneous regions, is presented.

Keywords: Cable joints, deflector's cones, equivalent electrodemethod, electric field distribution

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2149
7059 Design of Robust Fuzzy Logic Power System Stabilizer

Authors: S. A. Taher, A. Shemshadi

Abstract:

Power system stabilizers (PSS) must be capable of providing appropriate stabilization signals over a broad range of operating conditions and disturbance. Traditional PSS rely on robust linear design method in an attempt to cover a wider range of operating condition. Expert or rule-based controllers have also been proposed. Recently fuzzy logic (FL) as a novel robust control design method has shown promising results. The emphasis in fuzzy control design center is around uncertainties in the system parameters & operating conditions. In this paper a novel Robust Fuzzy Logic Power System Stabilizer (RFLPSS) design is proposed The RFLPSS basically utilizes only one measurable Δω signal as input (generator shaft speed). The speed signal is discretized resulting in three inputs to the RFLPSS. There are six rules for the fuzzification and two rules for defuzzification. To provide robustness, additional signal namely, speed are used as inputs to RFLPSS enabling appropriate gain adjustments for the three RFLPSS inputs. Simulation studies show the superior performance of the RFLPSS compared with an optimally designed conventional PSS and discrete mode FLPSS.

Keywords: Controller design, Fuzzy Logic, PID, Power SystemStabilizer, Robust control.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2080
7058 Design of Low Power and High Speed Digital IIR Filter in 45nm with Optimized CSA for Digital Signal Processing Applications

Authors: G. Ramana Murthy, C. Senthilpari, P. Velrajkumar, Lim Tien Sze

Abstract:

In this paper, a design methodology to implement low-power and high-speed 2nd order recursive digital Infinite Impulse Response (IIR) filter has been proposed. Since IIR filters suffer from a large number of constant multiplications, the proposed method replaces the constant multiplications by using addition/subtraction and shift operations. The proposed new 6T adder cell is used as the Carry-Save Adder (CSA) to implement addition/subtraction operations in the design of recursive section IIR filter to reduce the propagation delay. Furthermore, high-level algorithms designed for the optimization of the number of CSA blocks are used to reduce the complexity of the IIR filter. The DSCH3 tool is used to generate the schematic of the proposed 6T CSA based shift-adds architecture design and it is analyzed by using Microwind CAD tool to synthesize low-complexity and high-speed IIR filters. The proposed design outperforms in terms of power, propagation delay, area and throughput when compared with MUX-12T, MCIT-7T based CSA adder filter design. It is observed from the experimental results that the proposed 6T based design method can find better IIR filter designs in terms of power and delay than those obtained by using efficient general multipliers.

Keywords: CSA Full Adder, Delay unit, IIR filter, Low-Power, PDP, Parametric Analysis, Propagation Delay, Throughput, VLSI.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 3757
7057 Power Reduction by Automatic Monitoring and Control System in Active Mode

Authors: Somaye Abdollahi Pour, Mohsen Saneei

Abstract:

This paper describes a novel monitoring scheme to minimize total active power in digital circuits depend on the demand frequency, by adjusting automatically both supply voltage and threshold voltages based on circuit operating conditions such as temperature, process variations, and desirable frequency. The delay monitoring results, will be control and apply so as to be maintained at the minimum value at which the chip is able to operate for a given clock frequency. Design details of power monitor are examined using simulation framework in 32nm BTPM model CMOS process. Experimental results show the overhead of proposed circuit in terms of its power consumption is about 40 μW for 32nm technology; moreover the results show that our proposed circuit design is not far sensitive to the temperature variations and also process variations. Besides, uses the simple blocks which offer good sensitivity, high speed, the continuously feedback loop. This design provides up to 40% reduction in power consumption in active mode.

Keywords: active mode, delay monitor, body biasing, VDD scaling, low power.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1802
7056 Reversible Signed Division for Computing Systems

Authors: D. Krishnaveni, M. Geetha Priya

Abstract:

Applications of reversible logic gates in the design of complex integrated circuits provide power optimization.  This technique finds a great use in low power CMOS design, optical computing, quantum computing and nanotechnology. This paper proposes a reversible signed division circuit that can divide an n-bit signed dividend with an n-bit signed divisor using non-restoration division logic. The proposed design adequately addresses the ‘delay’ there by improving the efficiency of the circuit. An attempt is made to design a reversible signed division circuit. This paper provides a threshold to build more complex arithmetic systems using reversible logic, thus increasing the performance of computing systems.

Keywords: Low power CMOS, quantum computing, reversible logic gates, shift register, signed division.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1212
7055 Improving the Performance of Gas Turbine Power Plant by Modified Axial Turbine

Authors: Hakim T. Kadhim, Faris A. Jabbar, Aldo Rona, Audrius Bagdanaviciu

Abstract:

Computer-based optimization techniques can be employed to improve the efficiency of energy conversions processes, including reducing the aerodynamic loss in a thermal power plant turbomachine. In this paper, towards mitigating secondary flow losses, a design optimization workflow is implemented for the casing geometry of a 1.5 stage axial flow turbine that improves the turbine isentropic efficiency. The improved turbine is used in an open thermodynamic gas cycle with regeneration and cogeneration. Performance estimates are obtained by the commercial software Cycle – Tempo. Design and off design conditions are considered as well as variations in inlet air temperature. Reductions in both the natural gas specific fuel consumption and in CO2 emissions are predicted by using the gas turbine cycle fitted with the new casing design. These gains are attractive towards enhancing the competitiveness and reducing the environmental impact of thermal power plant.

Keywords: Axial flow turbine, computational fluid dynamics, gas turbine power plant, optimization.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1007
7054 A 16Kb 10T-SRAM with 4x Read-Power Reduction

Authors: Pardeep Singh, Sanjay Sharma, Parvinder S. Sandhu

Abstract:

This work aims to reduce the read power consumption as well as to enhance the stability of the SRAM cell during the read operation. A new 10-transisor cell is proposed with a new read scheme to minimize the power consumption within the memory core. It has separate read and write ports, thus cell read stability is significantly improved. A 16Kb SRAM macro operating at 1V supply voltage is demonstrated in 65 nm CMOS process. Its read power consumption is reduced to 24% of the conventional design. The new cell also has lower leakage current due to its special bit-line pre-charge scheme. As a result, it is suitable for low-power mobile applications where power supply is restricted by the battery.

Keywords: A 16Kb 10T-SRAM, 4x Read-Power Reduction

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1896
7053 Design Techniques and Implementation of Low Power High-Throughput Discrete Wavelet Transform Tilters for JPEG 2000 Standard

Authors: Grigorios D. Dimitroulakos, N. D. Zervas, N. Sklavos, Costas E. Goutis

Abstract:

In this paper, the implementation of low power, high throughput convolutional filters for the one dimensional Discrete Wavelet Transform and its inverse are presented. The analysis filters have already been used for the implementation of a high performance DWT encoder [15] with minimum memory requirements for the JPEG 2000 standard. This paper presents the design techniques and the implementation of the convolutional filters included in the JPEG2000 standard for the forward and inverse DWT for achieving low-power operation, high performance and reduced memory accesses. Moreover, they have the ability of performing progressive computations so as to minimize the buffering between the decomposition and reconstruction phases. The experimental results illustrate the filters- low power high throughput characteristics as well as their memory efficient operation.

Keywords: Discrete Wavelet Transform; JPEG2000 standard; VLSI design; Low Power-Throughput-optimized filters

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1225
7052 Transformerless AC-DC Converter

Authors: Saisundar. S., I Made Darmayuda, Zhou Jun, Krishna Mainali, Simon Ng Sheung Yan, Eran Ofek

Abstract:

This paper compares the recent transformerless ACDC power converter architectures and provides an assessment of each. A prototype of one of the transformerless AC-DC converter architecture is also presented depicting the feasibility of a small form factor, power supply design. In this paper component selection guidelines to achieve high efficiency AC-DC power conversion are also discussed.

Keywords: AC-DC converter, digitally controlled, switched mode power supply, transformerless.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 5737
7051 Design of Power System Stabilizer Based on Sliding Mode Control Theory for Multi- Machine Power System

Authors: Hossein Shahinzadeh, Ladan Darougaran, Ebrahim Jalili Sani, Hamed Yavari, Mahdi Mozaffari Legha

Abstract:

This paper present a new method for design of power system stabilizer (PSS) based on sliding mode control (SMC) technique. The control objective is to enhance stability and improve the dynamic response of the multi-machine power system. In order to test effectiveness of the proposed scheme, simulation will be carried out to analyze the small signal stability characteristics of the system about the steady state operating condition following the change in reference mechanical torque and also parameters uncertainties. For comparison, simulation of a conventional control PSS (lead-lag compensation type) will be carried out. The main approach is focusing on the control performance which later proven to have the degree of shorter reaching time and lower spike.

Keywords: Power system stabilizer (PSS), multi-machine power system, sliding mode control

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2325
7050 Radiation Safety of Population in the Region of NPP-2006/MIR-1200 Site

Authors: V. Bezlepkin, M. Karaseva, A. Frolov, E. Kharchenko, L. Lebedev

Abstract:

The main features of NPP-2006/MIR-1200 design are described. Estimation of individual doses for population under normal operation and accident conditions is performed for Leningradskaya NPP – 2 as an example. The radiation effect on population and environment doesn-t exceed the established normative limit and is as low as reasonably achievable. NPP- 2006/MIR-1200 design meets all Russian and international requirements for power units under construction.

Keywords: Accident release, beyond design basis accident (BDBA), nuclear power plant (NPP), radiation safety.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2017
7049 Low Power Approach for Decimation Filter Hardware Realization

Authors: Kar Foo Chong, Pradeep K. Gopalakrishnan, T. Hui Teo

Abstract:

There are multiple ways to implement a decimator filter. This paper addresses usage of CIC (cascaded-integrator-comb) filter and HB (half band) filter as the decimator filter to reduce the frequency sample rate by factor of 64 and detail of the implementation step to realize this design in hardware. Low power design approach for CIC filter and half band filter will be discussed. The filter design is implemented through MATLAB system modeling, ASIC (application specific integrated circuit) design flow and verified using a FPGA (field programmable gate array) board and MATLAB analysis.

Keywords: CIC filter, decimation filter, half-band filter, lowpower.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2348
7048 Design of Reconfigurable 2 Way Wilkinson Power Divider for WLAN Applications

Authors: G. Kalpanadevi, S. Ravimaran, M. Shanmugapriya

Abstract:

A Reconfigurable Wilkinson power divider is proposed in this paper. In existing system only a limited number of bandwidth is used at the output ports, in the proposed Wilkinson power divider different band of frequencies are obtained by using PIN diode. By tuning the PIN diode, different frequencies are achieved. The size of the power divider is reduced for the operating frequency and increases the fractional bandwidth.

Keywords: Isolation loss, PIN diode, Reconfigurable Wilkinson power divider and WLAN applications.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2704
7047 Technology Identification, Evaluation and Selection Methodology for Industrial Process Water and Waste Water Treatment Plant of 3x150 MWe Tufanbeyli Lignite-Fired Power Plant

Authors: Cigdem Safak Saglam

Abstract:

Most thermal power plants use steam as working fluid in their power cycle. Therefore, in addition to fuel, water is the other main input for thermal plants. Water and steam must be highly pure in order to protect the systems from corrosion, scaling and biofouling. Pure process water is produced in water treatment plants having many several treatment methods. Treatment plant design is selected depending on raw water source and required water quality. Although working principle of fossil-fuel fired thermal power plants are same, there is no standard design and equipment arrangement valid for all thermal power plant utility systems. Besides that, there are many other technology evaluation and selection criteria for designing the most optimal water systems meeting the requirements such as local conditions, environmental restrictions, electricity and other consumables availability and transport, process water sources and scarcity, land use constraints etc. Aim of this study is explaining the adopted methodology for technology selection for process water preparation and industrial waste water treatment plant in a thermal power plant project located in Tufanbeyli, Adana Province in Turkey. Thermal power plant is fired with indigenous lignite coal extracted from adjacent lignite reserves. This paper addresses all above-mentioned factors affecting the thermal power plant water treatment facilities (demineralization + waste water treatment) design and describes the ultimate design of Tufanbeyli Thermal Power Plant Water Treatment Plant.

Keywords: Thermal power plant, lignite coal, pre-treatment, demineralization, electrodialysis, recycling, waste water, process water.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1659
7046 Coordinated Design of TCSC Controller and PSS Employing Particle Swarm Optimization Technique

Authors: Sidhartha Panda, N. P. Padhy

Abstract:

This paper investigates the application of Particle Swarm Optimization (PSO) technique for coordinated design of a Power System Stabilizer (PSS) and a Thyristor Controlled Series Compensator (TCSC)-based controller to enhance the power system stability. The design problem of PSS and TCSC-based controllers is formulated as a time domain based optimization problem. PSO algorithm is employed to search for optimal controller parameters. By minimizing the time-domain based objective function, in which the deviation in the oscillatory rotor speed of the generator is involved; stability performance of the system is improved. To compare the capability of PSS and TCSC-based controller, both are designed independently first and then in a coordinated manner for individual and coordinated application. The proposed controllers are tested on a weakly connected power system. The eigenvalue analysis and non-linear simulation results are presented to show the effectiveness of the coordinated design approach over individual design. The simulation results show that the proposed controllers are effective in damping low frequency oscillations resulting from various small disturbances like change in mechanical power input and reference voltage setting.

Keywords: Particle swarm optimization, Phillips-Heffron model, power system stability, PSS, TCSC.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 2112
7045 Contribution to the Study and Optimal Exploitation of a Solar Power System for a Semi-Arid Zone (Case Study: Ferkene, Algeria)

Authors: D. Dib, W. Guebabi, M. B. Guesmi

Abstract:

The objective of this paper is a contribution to a study of power supply by solar energy system called a common Ferkène north of Algerian desert in the semi-arid area. The optimal exploitation of the system, goes through stages of study and essential design, the choice of the model of the photovoltaic panel, the study of behavior with all the parameters involved in simulation before fixing the trajectory tracking the maximum point the power to extract (MPPT), form the essential platform to shape the design of the solar system set up to supply the town Ferkène without considering the grid. The identification of the common Ferkène by the collection of geographical, meteorological, demographic and electrical provides a basis uniform and important data. The results reflect a valid fictive model for any attempt to study and design a solar system to supply an arid or semi-arid zone by electrical energy from photovoltaic panels.

Keywords: Solar power, photovoltaic panel, Boost converter, supply, design, electric power, Ferkène, Algeria.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1702
7044 Efficient Power-Delay Product Modulo 2n+1 Adder Design

Authors: Yavar Safaei Mehrabani, Mehdi Hosseinzadeh

Abstract:

As embedded and portable systems were emerged power consumption of circuits had been major challenge. On the other hand latency as determines frequency of circuits is also vital task. Therefore, trade off between both of them will be desirable. Modulo 2n+1 adders are important part of the residue number system (RNS) based arithmetic units with the interesting moduli set (2n-1,2n, 2n+1). In this manuscript we have introduced novel binary representation to the design of modulo 2n+1 adder. VLSI realization of proposed architecture under 180 nm full static CMOS technology reveals its superiority in terms of area, power consumption and power-delay product (PDP) against several peer existing structures.

Keywords: Computer arithmetic, modulo 2n+1 adders, Residue Number System (RNS), VLSI.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1754
7043 Damping Power System Oscillations Improvement by FACTS Devices: A Comparison between SSSC and STATCOM

Authors: J. Barati, A. Saeedian, S. S. Mortazavi

Abstract:

The main objective of this paper is a comparative investigate in enhancement of damping power system oscillation via coordinated design of the power system stabilizer (PSS) and static synchronous series compensator (SSSC) and static synchronous compensator (STATCOM). The design problem of FACTS-based stabilizers is formulated as a GA based optimization problem. In this paper eigenvalue analysis method is used on small signal stability of single machine infinite bus (SMIB) system installed with SSSC and STATCOM. The generator is equipped with a PSS. The proposed stabilizers are tested on a weakly connected power system with different disturbances and loading conditions. This aim is to enhance both rotor angle and power system stability. The eigenvalue analysis and non-linear simulation results are presented to show the effects of these FACTS-based stabilizers and reveal that SSSC exhibits the best effectiveness on damping power system oscillation.

Keywords: Power system stability, PSS, SSSC, STATCOM, Coordination, Optimization, Damping Oscillations.

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 3957