Search results for: minimum power consumption
Commenced in January 2007
Frequency: Monthly
Edition: International
Paper Count: 10384

Search results for: minimum power consumption

10384 Reduced Power Consumption by Randomization for DSI3

Authors: David Levy

Abstract:

The newly released Distributed System Interface 3 (DSI3) Bus Standard specification defines 3 modulation levels from which 16 valid symbols are coded. This structure creates power consumption variations depending on the transmitted data of a factor of more than 2 between minimum and maximum. The power generation unit has to consider therefore the worst case maximum consumption all the time and be built accordingly. This paper proposes a method to reduce both the average current consumption and worst case current consumption. The transmitter randomizes the data using several pseudo-random sequences. It then estimates the energy consumption of the generated frames and selects to transmit the one which consumes the least. The transmitter also prepends the index of the pseudo-random sequence, which is not randomized, to allow the receiver to recover the original data using the correct sequence. We show that in the case that the frame occupies most of the DSI3 synchronization period, we achieve average power consumption reduction by up to 13% and the worst case power consumption is reduced by 17.7%.

Keywords: DSI3, energy, power consumption, randomization

Procedia PDF Downloads 499
10383 Energy Management Method in DC Microgrid Based on the Equivalent Hydrogen Consumption Minimum Strategy

Authors: Ying Han, Weirong Chen, Qi Li

Abstract:

An energy management method based on equivalent hydrogen consumption minimum strategy is proposed in this paper aiming at the direct-current (DC) microgrid consisting of photovoltaic cells, fuel cells, energy storage devices, converters and DC loads. The rational allocation of fuel cells and battery devices is achieved by adopting equivalent minimum hydrogen consumption strategy with the full use of power generated by photovoltaic cells. Considering the balance of the battery’s state of charge (SOC), the optimal power of the battery under different SOC conditions is obtained and the reference output power of the fuel cell is calculated. And then a droop control method based on time-varying droop coefficient is proposed to realize the automatic charge and discharge control of the battery, balance the system power and maintain the bus voltage. The proposed control strategy is verified by RT-LAB hardware-in-the-loop simulation platform. The simulation results show that the designed control algorithm can realize the rational allocation of DC micro-grid energy and improve the stability of system.

Keywords: DC microgrid, equivalent minimum hydrogen consumption strategy, energy management, time-varying droop coefficient, droop control

Procedia PDF Downloads 276
10382 Virtual Routing Function Allocation Method for Minimizing Total Network Power Consumption

Authors: Kenichiro Hida, Shin-Ichi Kuribayashi

Abstract:

In a conventional network, most network devices, such as routers, are dedicated devices that do not have much variation in capacity. In recent years, a new concept of network functions virtualisation (NFV) has come into use. The intention is to implement a variety of network functions with software on general-purpose servers and this allows the network operator to select their capacities and locations without any constraints. This paper focuses on the allocation of NFV-based routing functions which are one of critical network functions, and presents the virtual routing function allocation algorithm that minimizes the total power consumption. In addition, this study presents the useful allocation policy of virtual routing functions, based on an evaluation with a ladder-shaped network model. This policy takes the ratio of the power consumption of a routing function to that of a circuit and traffic distribution between areas into consideration. Furthermore, the present paper shows that there are cases where the use of NFV-based routing functions makes it possible to reduce the total power consumption dramatically, in comparison to a conventional network, in which it is not economically viable to distribute small-capacity routing functions.

Keywords: NFV, resource allocation, virtual routing function, minimum power consumption

Procedia PDF Downloads 308
10381 A Lifetime-Enhancing Monitoring Node Distribution Using Minimum Spanning Tree in Mobile Ad Hoc Networks

Authors: Sungchul Ha, Hyunwoo Kim

Abstract:

In mobile ad hoc networks, all nodes in a network only have limited resources and calculation ability. Therefore communication topology which have long lifetime is good for all nodes in mobile ad hoc networks. There are a variety of researches on security problems in wireless ad hoc networks. The existing many researches try to make efficient security schemes to reduce network power consumption and enhance network lifetime. Because a new node can join the network at any time, the wireless ad hoc networks are exposed to various threats and can be destroyed by attacks. Resource consumption is absolutely necessary to secure networks, but more resource consumption can be a critical problem to network lifetime. This paper focuses on efficient monitoring node distribution to enhance network lifetime in wireless ad hoc networks. Since the wireless ad hoc networks cannot use centralized infrastructure and security systems of wired networks, a new special IDS scheme is necessary. The scheme should not only cover all nodes in a network but also enhance the network lifetime. In this paper, we propose an efficient IDS node distribution scheme using minimum spanning tree (MST) method. The simulation results show that the proposed algorithm has superior performance in comparison with existing algorithms.

Keywords: MANETs, IDS, power control, minimum spanning tree

Procedia PDF Downloads 330
10380 Optimizing Power in Sequential Circuits by Reducing Leakage Current Using Enhanced Multi Threshold CMOS

Authors: Patikineti Sreenivasulu, K. srinivasa Rao, A. Vinaya Babu

Abstract:

The demand for portability, performance and high functional integration density of digital devices leads to the scaling of complementary metal oxide semiconductor (CMOS) devices inevitable. The increase in power consumption, coupled with the increasing demand for portable/hand-held electronics, has made power consumption a dominant concern in the design of VLSI circuits today. MTCMOS technology provides low leakage and high performance operation by utilizing high speed, low Vt (LVT) transistors for logic cells and low leakage, high Vt (HVT) devices as sleep transistors. Sleep transistors disconnect logic cells from the supply and/or ground to reduce the leakage in the sleep mode. In this technology, energy consumption while doing the mode transition and minimum time required to turn ON the circuit upon receiving the wake up signal are issues to be considered because these can adversely impact the performance of VLSI circuit. In this paper we are introducing an enhancing method of MTCMOS technology to optimize the power in MTCMOS sequential circuits.

Keywords: power consumption, ultra-low power, leakage, sub threshold, MTCMOS

Procedia PDF Downloads 370
10379 Assessing the Ways of Improving the Power Saving Modes in the Ore-Grinding Technological Process

Authors: Baghdasaryan Marinka

Abstract:

Monitoring the distribution of electric power consumption in the technological process of ore grinding is conducted. As a result, the impacts of the mill filling rate, the productivity of the ore supply, the volumetric density of the grinding balls, the specific density of the ground ore, and the relative speed of the mill rotation on the specific consumption of electric power have been studied. The power and technological factors affecting the reactive power generated by the synchronous motors, operating within the technological scheme are studied. A block diagram for evaluating the power consumption modes of the technological process is presented, which includes the analysis of the technological scheme, the determination of the place and volumetric density of the ore-grinding mill, the evaluation of the technological and power factors affecting the energy saving process, as well as the assessment of the electric power standards.

Keywords: electric power standard, factor, ore grinding, power consumption, reactive power, technological

Procedia PDF Downloads 519
10378 High-Efficiency Comparator for Low-Power Application

Authors: M. Yousefi, N. Nasirzadeh

Abstract:

In this paper, dynamic comparator structure employing two methods for power consumption reduction with applications in low-power high-speed analog-to-digital converters have been presented. The proposed comparator has low consumption thanks to power reduction methods. They have the ability for offset adjustment. The comparator consumes 14.3 μW at 100 MHz which is equal to 11.8 fJ. The comparator has been designed and simulated in 180 nm CMOS. Layouts occupy 210 μm2.

Keywords: efficiency, comparator, power, low

Procedia PDF Downloads 321
10377 Aggregation Scheduling Algorithms in Wireless Sensor Networks

Authors: Min Kyung An

Abstract:

In Wireless Sensor Networks which consist of tiny wireless sensor nodes with limited battery power, one of the most fundamental applications is data aggregation which collects nearby environmental conditions and aggregates the data to a designated destination, called a sink node. Important issues concerning the data aggregation are time efficiency and energy consumption due to its limited energy, and therefore, the related problem, named Minimum Latency Aggregation Scheduling (MLAS), has been the focus of many researchers. Its objective is to compute the minimum latency schedule, that is, to compute a schedule with the minimum number of timeslots, such that the sink node can receive the aggregated data from all the other nodes without any collision or interference. For the problem, the two interference models, the graph model and the more realistic physical interference model known as Signal-to-Interference-Noise-Ratio (SINR), have been adopted with different power models, uniform-power and non-uniform power (with power control or without power control), and different antenna models, omni-directional antenna and directional antenna models. In this survey article, as the problem has proven to be NP-hard, we present and compare several state-of-the-art approximation algorithms in various models on the basis of latency as its performance measure.

Keywords: data aggregation, convergecast, gathering, approximation, interference, omni-directional, directional

Procedia PDF Downloads 194
10376 Power Consumption for Viscoplastic Fluid in a Rotating Vessel with an Anchor Impeller

Authors: Draoui Belkacem, Rahmani Lakhdar, Benachour Elhadj, Seghier Oussama

Abstract:

Rheology is known to have a strong impact on the flow behavior and the power consumption of mechanically agitated vessels. The laminar 2D agitation flow and power consumption of viscoplastic fluids with an anchor impeller in a stirring tank is studied by using computational fluid dynamics (CFD). In this work the objective of this paper is: to evaluate the power consumption for yield stress fluids in standard mixing system. The power consumption is calculated for the different types of anchor impeller configurations and an optimum configuration is proposed.The hydrodynamic fields of incompressible yield stress fluid with model of Bingham in a cylindrical vessel not chicaned equipped with anchor stirrer was undertaken by means of numerical simulation. The flow structures, and especially the effect of inertia, the plasticity and the yield stress, are discussed.

Keywords: rheology, 2D, numerical, anchor, rotating vissel, non-Newtonien fluid

Procedia PDF Downloads 476
10375 An Adder with Novel PMOS and NMOS for Ultra Low Power Applications in Deep Submicron Technology

Authors: Ch. Ashok Babu, J. V. R. Ravindra, K. Lalkishore

Abstract:

Power has became a burning issue in modern VLSI design. As the technology advances especially below 45nm, technology of leakage power became a big problem apart of the dynamic power. This paper presents a full adder with novel PMOS and NMOS which consume less power compare to conventional full adder, DTMOS full adder. This paper shows different types of adders and their power consumption, area, and delay. All the experiments have been carried out using Cadence® Virtuoso® design lay out editor which shows power consumption of different types of adders.

Keywords: average power, leakage power, delay, DTMOS, PDP

Procedia PDF Downloads 359
10374 Comparison of Power Consumption of WiFi Inbuilt Internet of Things Device with Bluetooth Low Energy

Authors: Darshana Thomas, Edward Wilkie, James Irvine

Abstract:

The Internet of things (IoT) is currently a highly researched topic, especially within the context of the smart home. These are small sensors that are capable of gathering data and transmitting it to a server. The majority of smart home products use protocols such as ZigBee or Bluetooth Low Energy (BLE). As these small sensors are increasing in number, the need to implement these with much more capable and ubiquitous transmission technology is necessary. The high power consumption is the reason that holds these small sensors back from using other protocols such as the most ubiquitous form of communication, WiFi. Comparing the power consumption of existing transmission technologies to one with WiFi inbuilt, would provide a better understanding for choosing between these technologies. We have developed a small IoT device with WiFi capability and proven that it is much more efficient than the first protocol, 433 MHz. We extend our work in this paper and compare WiFi power consumption with the other most widely used protocol BLE. The experimental results in this paper would conclude whether the developed prototype is capable in terms of power consumption to replace the existing protocol BLE with WiFi.

Keywords: bluetooth, internet of things (IoT), power consumption, WiFi

Procedia PDF Downloads 238
10373 Apply Commitment Method in Power System to Minimize the Fuel Cost

Authors: Mohamed Shaban, Adel Yahya

Abstract:

The goal of this paper study is to schedule the power generation units to minimize fuel consumption cost based on a model that solves unit commitment problems. This can be done by utilizing forward dynamic programming method to determine the most economic scheduling of generating units. The model was applied to a power station, which consists of four generating units. The obtained results show that the applications of forward dynamic programming method offer a substantial reduction in fuel consumption cost. The fuel consumption cost has been reduced from $116,326 to $102,181 within a 24-hour period. This means saving about 12.16 % of fuel consumption cost. The study emphasizes the importance of applying modeling schedule programs to the operation of power generation units. As a consequence less consumption of fuel, less loss of power and less pollution

Keywords: unit commitment, forward dynamic, fuel cost, programming, generation scheduling, operation cost, power system, generating units

Procedia PDF Downloads 565
10372 Interplay of Power Management at Core and Server Level

Authors: Jörg Lenhardt, Wolfram Schiffmann, Jörg Keller

Abstract:

While the feature sizes of recent Complementary Metal Oxid Semiconductor (CMOS) devices decrease the influence of static power prevails their energy consumption. Thus, power savings that benefit from Dynamic Frequency and Voltage Scaling (DVFS) are diminishing and temporal shutdown of cores or other microchip components become more worthwhile. A consequence of powering off unused parts of a chip is that the relative difference between idle and fully loaded power consumption is increased. That means, future chips and whole server systems gain more power saving potential through power-aware load balancing, whereas in former times this power saving approach had only limited effect, and thus, was not widely adopted. While powering off complete servers was used to save energy, it will be superfluous in many cases when cores can be powered down. An important advantage that comes with that is a largely reduced time to respond to increased computational demand. We include the above developments in a server power model and quantify the advantage. Our conclusion is that strategies from datacenters when to power off server systems might be used in the future on core level, while load balancing mechanisms previously used at core level might be used in the future at server level.

Keywords: power efficiency, static power consumption, dynamic power consumption, CMOS

Procedia PDF Downloads 191
10371 Designing a Low Power Consumption Mote in Wireless Sensor Network

Authors: Saidi Nabiha, Khaled Zaatouri, Walid Fajraoui, Tahar Ezzeddine

Abstract:

The market of Wireless Sensor Network WSN has a great potential and development opportunities. Researchers are focusing on optimization in many fields like efficient deployment and routing protocols. In this article, we will concentrate on energy efficiency for WSN because WSN nodes are habitually deployed in severe No Man’s Land with batteries are not rechargeable, so reducing energy consumption represents an important challenge to extend the life of the network. We will present the design of new WSN mote based on ultra low power STM32L microcontrollers and the ZIGBEE transceiver CC2520. We will compare it to existent motes and we will conclude that our mote is promising in energy consumption.

Keywords: component, WSN mote, power consumption, STM32L, sensors, CC2520

Procedia PDF Downloads 543
10370 Water Saving in Electricity Generation System Considering Natural Gas Limitation

Authors: Mehdi Ganjkhani, Sobhan Badakhshan, Seyedvahid Hosseini

Abstract:

Power plants exploit striking proportion of underground water consumption. Correspondingly, natural gas-fired power plants need less water than the other conventional power plants. Therefore, shifting unit commitment planning toward these power plants would help to save water consumption. This paper discusses the impacts of water consumption limitation on natural gas consumption and vice versa as a short-term water consumption management solution. To do so, conventional unit commitment problem is extended by adding water consumption and natural gas constraints to the previous constrains. The paper presents the impact of water saving on natural gas demands as well as natural gas shortage on water demand. Correspondingly, the additional cost of electricity production according to the aforementioned constraints is evaluated. Finally, a test system is applied to investigate potentials and impacts of water saving and natural gas shortage. Different scenarios are conducted and the results are presented. The results of the study illustrate that in order to use less water for power production it needs to use more natural gas. Meanwhile, natural gas shortage causes to utilize more amount of water in aggregate.

Keywords: electric energy generation system, underground water sources, unit commitment, water consumption saving, natural gas

Procedia PDF Downloads 149
10369 Simple and Concise Maximum Power Control Circuit for PV Power Generation

Authors: Keiju Matsui, Mikio Yasubayashi, Masayoshi Umeno

Abstract:

Consumption of energy is increasing every year, and yet does not the decline at all. The main energy source is fossil fuels such as petroleum and natural gas. Since it is the finite resources, they will be exhausted someday. Moreover, to make the fossil fuel an energy source causes an environment problem. In such way, one solution of the problems is the solar battery that is remarkable as one of the alternative energies. Under such circumstances, in this paper, we propose a novel maximum power control circuit for photovoltaic power generation system with simple and fast-response operation. In addition to an application to the solar battery, since this control system is possible to operate with simple circuit and fast-response, the polar value control like the maximum or the minimum value tracking for general application could be easily realized.

Keywords: maximum power control, inter-connection, photovoltaic power generation, PI controller, multiplier, exclusive-or, power system

Procedia PDF Downloads 417
10368 Centralized Peak Consumption Smoothing Revisited for Habitat Energy Scheduling

Authors: M. Benbouzid, Q. Bresson, A. Duclos, K. Longo, Q. Morel

Abstract:

Currently, electricity suppliers must predict the consumption of their customers in order to deduce the power they need to produce. It is, then, important in a first step to optimize household consumption to obtain more constant curves by limiting peaks in energy consumption. Here centralized real time scheduling is proposed to manage the equipment's starting in parallel. The aim is not to exceed a certain limit while optimizing the power consumption across a habitat. The Raspberry Pi is used as a box; this scheduler interacts with the various sensors in 6LoWPAN. At the scale of a single dwelling, household consumption decreases, particularly at times corresponding to the peaks. However, it would be wiser to consider the use of a residential complex so that the result would be more significant. So, the ceiling would no longer be fixed. The scheduling would be done on two scales, firstly, per dwelling, and secondly, at the level of a residential complex.

Keywords: smart grid, energy box, scheduling, Gang Model, energy consumption, energy management system, wireless sensor network

Procedia PDF Downloads 276
10367 Advanced Simulation of Power Consumption of Electric Vehicles

Authors: Ilya Kavalchuk, Hayrettin Arisoy, Alex Stojcevski, Aman Maun Than Oo

Abstract:

Electric vehicles are one of the most complicated electric devices to simulate due to the significant number of different processes involved in electrical structure of it. There are concurrent processes of energy consumption and generation with different onboard systems, which make simulation tasks more complicated to perform. More accurate simulation on energy consumption can provide a better understanding of all energy management for electric transport. As a result of all those processes, electric transport can allow for a more sustainable future and become more convenient in relation to the distance range and recharging time. This paper discusses the problems of energy consumption simulations for electric vehicles using different software packages to provide ideas on how to make this process more precise, which can help engineers create better energy management strategies for electric vehicles.

Keywords: electric vehicles, EV, power consumption, power management, simulation

Procedia PDF Downloads 476
10366 Energy Consumption Forecast Procedure for an Industrial Facility

Authors: Tatyana Aleksandrovna Barbasova, Lev Sergeevich Kazarinov, Olga Valerevna Kolesnikova, Aleksandra Aleksandrovna Filimonova

Abstract:

We regard forecasting of energy consumption by private production areas of a large industrial facility as well as by the facility itself. As for production areas the forecast is made based on empirical dependencies of the specific energy consumption and the production output. As for the facility itself implementation of the task to minimize the energy consumption forecasting error is based on adjustment of the facility’s actual energy consumption values evaluated with the metering device and the total design energy consumption of separate production areas of the facility. The suggested procedure of optimal energy consumption was tested based on the actual data of core product output and energy consumption by a group of workshops and power plants of the large iron and steel facility. Test results show that implementation of this procedure gives the mean accuracy of energy consumption forecasting for winter 2014 of 0.11% for the group of workshops and 0.137% for the power plants.

Keywords: energy consumption, energy consumption forecasting error, energy efficiency, forecasting accuracy, forecasting

Procedia PDF Downloads 401
10365 A Low-Power Comparator Structure with Arbitrary Pre-Amplification Delay

Authors: Ata Khorami, Mohammad Sharifkhani

Abstract:

In the dynamic comparators, the pre-amplifier amplifies the input differential voltage and when the output Vcm of the pre-amplifier becomes larger than Vth of the latch input transistors, the latch is activated and finalizes the comparison. As a result, the pre-amplification delay is fixed to a value and cannot be set at the minimum required delay, thus, significant power and delay are imposed. In this paper, a novel structure is proposed through which the pre-amplification delay can be set at any low value saving power and time. Simulations show that using the proposed structure, by setting the pre-amplification delay at the minimum required value the power and comparison delay can be reduced by 55% and 100ps respectively.

Keywords: dynamic comparator, low power comparator, analog to digital converter, pre-amplification delay

Procedia PDF Downloads 178
10364 Reliability Analysis: A Case Study in Designing Power Distribution System of Tehran Oil Refinery

Authors: A. B. Arani, R. Shojaee

Abstract:

Electrical power distribution system is one of the vital infrastructures of an oil refinery, which requires wide area of study and planning before construction. In this paper, power distribution reliability of Tehran Refinery’s KHDS/GHDS unit has been taken into consideration to investigate the importance of these kinds of studies and evaluate the designed system. In this regard, the authors chose and evaluated different configurations of electrical power distribution along with the existing configuration with the aim of finding the most suited configuration which satisfies the conditions of minimum cost of electrical system construction, minimum cost imposed by loss of load, and maximum power system reliability.

Keywords: power distribution system, oil refinery, reliability, investment cost, interruption cost

Procedia PDF Downloads 839
10363 Low-Power Digital Filters Design Using a Bypassing Technique

Authors: Thiago Brito Bezerra

Abstract:

This paper presents a novel approach to reduce power consumption of digital filters based on dynamic bypassing of partial products in their multipliers. The bypassing elements incorporated into the multiplier hardware eliminate redundant signal transitions, which appear within the carry-save adders when the partial product is zero. This technique reduces the power consumption by around 20%. The circuit implementation was made using the AMS 0.18 um technology. The bypassing technique applied to the circuits is outlined.

Keywords: digital filter, low-power, bypassing technique, low-pass filter

Procedia PDF Downloads 350
10362 Develop a Software to Hydraulic Redesign a Depropanizer Column to Minimize Energy Consumption

Authors: Mahdi Goharrokhi, Rasool Shiri, Eiraj Naser

Abstract:

A depropanizer column of a particular refinery was redesigned in this work. That is, minimum reflux ratio, minimum number of trays, feed tray location and the hydraulic characteristics of the tower were calculated and compared with the actual values of the existing tower. To Design review of the tower, fundamental equations were used to develop software which its results were compared with two commercial software results. In each case PR EOS was used. Based on the total energy consumption in reboiler and condenser, feed tray location was also determined using case study definition for tower.

Keywords: column, hydraulic design, pressure drop, energy consumption

Procedia PDF Downloads 385
10361 Towards the Use of Software Product Metrics as an Indicator for Measuring Mobile Applications Power Consumption

Authors: Ching Kin Keong, Koh Tieng Wei, Abdul Azim Abd. Ghani, Khaironi Yatim Sharif

Abstract:

Maintaining factory default battery endurance rate over time in supporting huge amount of running applications on energy-restricted mobile devices has created a new challenge for mobile applications developer. While delivering customers’ unlimited expectations, developers are barely aware of efficient use of energy from the application itself. Thus developers need a set of valid energy consumption indicators in assisting them to develop energy saving applications. In this paper, we present a few software product metrics that can be used as an indicator to measure energy consumption of Android-based mobile applications in the early of design stage. In particular, Trepn Profiler (Power profiling tool for Qualcomm processor) has used to collect the data of mobile application power consumption, and then analyzed for the 23 software metrics in this preliminary study. The results show that McCabe cyclomatic complexity, number of parameters, nested block depth, number of methods, weighted methods per class, number of classes, total lines of code and method lines have direct relationship with power consumption of mobile application.

Keywords: battery endurance, software metrics, mobile application, power consumption

Procedia PDF Downloads 365
10360 Numerical Study of Two Mechanical Stirring Systems for Yield Stress Fluid

Authors: Amine Benmoussa, Mebrouk Rebhi, Rahmani Lakhdar

Abstract:

Mechanically agitated vessels are commonly used for various operations within a wide range process in chemical, pharmaceutical, polymer, biochemical, mineral, petroleum industries. Depending on the purpose of the operation carried out in mixer, the best choice for geometry of the tank and agitator type can vary widely. In this paper, the laminar 2D agitation flow and power consumption of viscoplastic fluids with straight and circular gate impellers in a stirring tank is studied by using computational fluid dynamics (CFD), where the velocity profile, the velocity fields and power consumption was analyzed.

Keywords: CFD, mechanical stirring, power consumption, yield stress fluid

Procedia PDF Downloads 305
10359 An Approach to Consumption of Exhaustible Resources Based on Islamic Justice and Hartwick Criteria

Authors: Hamed Najafi, Ghasem Nikjou

Abstract:

Nowadays, there is an increasing attention to the resources scarcity issues. Because of failure in present patterns in the field of the allocation of exhaustible resources between generations and the challenges related to economic justice supply, it is supposed, to present a pattern from the Islamic perspective in this essay. By using content analysis of religious texts, we conclude that governments should remove the gap which is exists between the per capita income of the poor and their minimum consumption (necessary consumption). In order to preserve the exhaustible resources for poor people) not for all), between all generations, government should invest exhaustible resources on endless resources according to Hartwick’s criteria and should spend these benefits for poor people. But, if benefits did not cover the gap between minimum consumption and per capita income of poor levels in one generation, in this case, the government is responsible for covering this gap through the direct consumption of exhaustible resources. For an exact answer to this question, ‘how much of exhaustible resources should expense to maintain justice between generations?’ The theoretical and mathematical modeling has been used and proper function has been provided. The consumption pattern is presented for economic policy makers in Muslim countries, and non-Muslim even, it can be useful.

Keywords: exhaustible resources, Islamic justice, intergenerational justice, distribution of resources, Hartwick criteria

Procedia PDF Downloads 155
10358 Reducing Power Consumption in Network on Chip Using Scramble Techniques

Authors: Vinayaga Jagadessh Raja, R. Ganesan, S. Ramesh Kumar

Abstract:

An ever more significant fraction of the overall power dissipation of a network-on-chip (NoC) based system on- chip (SoC) is due to the interconnection scheme. In information, as equipment shrinks, the power contributes of NoC links starts to compete with that of NoC routers. In this paper, we propose the use of clock gating in the data encoding techniques as a viable way to reduce both power dissipation and time consumption of NoC links. The projected scramble scheme exploits the wormhole switching techniques. That is, flits are scramble by the network interface (NI) before they are injected in the network and are decoded by the target NI. This makes the scheme transparent to the underlying network since the encoder and decoder logic is integrated in the NI and no modification of the routers structural design is required. We review the projected scramble scheme on a set of representative data streams (both synthetic and extracted from real applications) showing that it is possible to reduce the power contribution of both the self-switching activity and the coupling switching activity in inter-routers links.

Keywords: Xilinx 12.1, power consumption, Encoder, NOC

Procedia PDF Downloads 368
10357 Energy-Efficient Internet of Things Communications: A Comparative Study of Long-Term Evolution for Machines and Narrowband Internet of Things Technologies

Authors: Nassim Labdaoui, Fabienne Nouvel, Stéphane Dutertre

Abstract:

The Internet of Things (IoT) is emerging as a crucial communication technology for the future. Many solutions have been proposed, and among them, licensed operators have put forward LTE-M and NB-IoT. However, implementing these technologies requires a good understanding of the device energy requirements, which can vary depending on the coverage conditions. In this paper, we investigate the power consumption of LTE-M and NB-IoT devices using Ublox SARA-R422S modules based on relevant standards from two French operators. The measurements were conducted under different coverage conditions, and we also present an empirical consumption model based on the different states of the radio modem as per the RRC protocol specifications. Our findings indicate that these technologies can achieve a 5 years operational battery life under certain conditions. Moreover, we conclude that the size of transmitted data does not have a significant impact on the total power consumption of the device under favorable coverage conditions. However, it can quickly influence the battery life of the device under harsh coverage conditions. Overall, this paper offers insights into the power consumption of LTE-M and NBIoT devices and provides useful information for those considering the use of these technologies.

Keywords: internet of things, LTE-M, NB-IoT, MQTT, cellular IoT, power consumption

Procedia PDF Downloads 100
10356 Evaluation of PV Orientation Effect on Mismatch between Consumption Load and PV Power Profiles

Authors: Iyad M. Muslih, Yehya Abdellatif, Sara Qutishat

Abstract:

Renewable energy and in particular solar photovoltaic energy is emerging as a reasonable power generation source. The intermittent and unpredictable nature of solar energy can represent a serious challenge to the utility grids, specifically at relatively high penetration. To minimize the impact of PV power systems on the grid, self-consumption is encouraged. Self-consumption can be improved by matching the PV power generation with the electrical load consumption profile. This study will focus in studying different load profiles and comparing them to typical solar PV power generation at the selected sites with the purpose of analyzing the mismatch in consumption load profile for different users; residential, commercial, and industrial versus the solar photovoltaic output generation. The PV array orientation can be adjusted to reduce the mismatch effects. The orientation shift produces a corresponding shift in the energy production curve. This shift has a little effect on the mismatch for residential loads due to the fact the peak load occurs at night due to lighting loads. This minor gain does not justify the power production loss associated with the orientation shift. The orientation shift for both commercial and industrial cases lead to valuable decrease in the mismatch effects. Such a design is worth considering for reducing grid penetration. Furthermore, the proposed orientation shift yielded better results during the summer time due to the extended daylight hours.

Keywords: grid impact, HOMER, power mismatch, solar PV energy

Procedia PDF Downloads 573
10355 Design Of High Sensitivity Transceiver for WSN

Authors: A. Anitha, M. Aishwariya

Abstract:

The realization of truly ubiquitous wireless sensor networks (WSN) demands Ultra-low power wireless communication capability. Because the radio transceiver in a wireless sensor node consumes more power when compared to the computation part it is necessary to reduce the power consumption. Hence, a low power transceiver is designed and implemented in a 120 nm CMOS technology for wireless sensor nodes. The power consumption of the transceiver is reduced still by maintaining the sensitivity. The transceiver designed combines the blocks including differential oscillator, mixer, envelope detector, power amplifiers, and LNA. RF signal modulation and demodulation is carried by On-Off keying method at 2.4 GHz which is said as ISM band. The transmitter demonstrates an output power of 2.075 mW while consuming a supply voltage of range 1.2 V-5.0 V. Here the comparison of LNA and power amplifier is done to obtain an amplifier which produces a high gain of 1.608 dB at receiver which is suitable to produce a desired sensitivity. The multistage RF amplifier is used to improve the gain at the receiver side. The power dissipation of the circuit is in the range of 0.183-0.323 mW. The receiver achieves a sensitivity of about -95 dBm with data rate of 1 Mbps.

Keywords: CMOS, envelope detector, ISM band, LNA, low power electronics, PA, wireless transceiver

Procedia PDF Downloads 475