Synthesis and Simulation of Enhanced Buffer Router vs. Virtual Channel Router in NOC ON Cadence
Commenced in January 2007
Frequency: Monthly
Edition: International
Paper Count: 32797
Synthesis and Simulation of Enhanced Buffer Router vs. Virtual Channel Router in NOC ON Cadence

Authors: Bhavana Prakash Shrivastava, Kavita Khare

Abstract:

This paper presents a synthesis and simulation of proposed enhanced buffer. The design provides advantages of both buffer and bufferless network for that two cross bar switches are used. The concept of virtual channel (VC) is eliminated from the previous design by using an efficient flow-control scheme that uses the storage already present in pipelined channels in place of explicit input VCBs. This can be addressed by providing enhanced buffers on the bufferless link and creating two virtual networks. With this approach, VCBs act as distributed FIFO buffers. Without VCBs or VCs, deadlock prevention is achieved by duplicating physical channels. An enhanced buffer provides a function of hand shaking by providing a ready valid handshake signal and two bit storage. Through this design the power is reduced to 15.65% and delay is reduced to 97.88% with respect to virtual channel router.

Keywords: Enhanced buffer, Gate delay, NOC, VCs, VCB.

Digital Object Identifier (DOI): doi.org/10.5281/zenodo.1335970

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1698

References:


[1] L. Benini and G. De Micheli, "Networks on chips: A new SoC paradigm,” Computer, vol. 35, no. 1, pp. 70–78, 2002.
[2] W. J. Dally and B. Towles, "Route packets, not wires: On-chip interconnection networks,” in DAC ’01: Proceedings of the 38th Conference on Design Automation, Jun. 2001, pp. 684–689.
[3] P. Guerrier and A. Greiner, "A generic architecture for on-chip packet-switched interconnections,” in DATE ’00: Proceedings of the Conference on Design, Automation and Test in Europe, Mar. 2000, pp. 250–256.
[4] Z. Lu and A. Jantsch, "Flit ejection in on-chip wormhole-switched Networks with virtual channels,” in NORCHIP ’04: Proceedings of the 2004 IEEE/ACM International Conference on Norchip, Nov. 2004, pp. 273–276.
[5] J. Hu, ¨ U. Y. Ogras, and R. Marculescu, "System-level buffer allocation for application-specific networks-on-chip router design,” IEEE Trans. on CAD of Integrated Circuits and Systems, vol. 25, no. 12, pp. 2919–2933, Jan. 2006.
[6] Z. Lu and A. Jantsch, "Flit ejection in on-chip wormhole-switched Networks with virtual channels,” in NORCHIP ’04: Proceedings of the 2004 IEEE/ACM International Conference on Norchip, Nov. 2004, pp. 273–276.
[7] C. A. Nicopoulos, D. Park, J. Kim, N. Vijaykrishnan, M. S. Yousif, and C. R. Das,"ViChaR: A dynamic virtual channel regulator for network-on-chip routers,” in MICRO’39: Proceedings of the 39th Annual IEEE/ACM International Sympo-sium on Microarchitecture, Dec. 2006, pp. 333–346.
[8] L. S. Peh, W. J. Dally, and P. Li-Shiuan, "Delay model for router microarchitectures,” IEEE Micro, vol. 21, no. 1, pp. 26–34, 2001.
[9] T. Moscibroda, O. Mutlu, ‘‘A case for bufferless routing in on-chip networks, in Proceedings of the 36th Annual International Symposium on Computer Architecture, June 2007.
[10] S. Borkar, "Design challenges of technology scaling,” IEEE Micro, vol. 19, pp. 23–29, 1999
[11] S. Ramany and D. Eager, "The interaction between virtual channel flow control and adaptive routing in wormhole networks,” in ICS ’94: Proceedings of the 8th International Conference on Supercomputing, Jul. 1994, pp. 136–145.