On-Chip Aging Sensor Circuit Based on Phase Locked Loop Circuit
Commenced in January 2007
Frequency: Monthly
Edition: International
Paper Count: 32797
On-Chip Aging Sensor Circuit Based on Phase Locked Loop Circuit

Authors: Ararat Khachatryan, Davit Mirzoyan

Abstract:

In sub micrometer technology, the aging phenomenon starts to have a significant impact on the reliability of integrated circuits by bringing performance degradation. For that reason, it is important to have a capability to evaluate the aging effects accurately. This paper presents an accurate aging measurement approach based on phase-locked loop (PLL) and voltage-controlled oscillator (VCO) circuit. The architecture is rejecting the circuit self-aging effect from the characteristics of PLL, which is generating the frequency without any aging phenomena affects. The aging monitor is implemented in low power 32 nm CMOS technology, and occupies a pretty small area. Aging simulation results show that the proposed aging measurement circuit improves accuracy by about 2.8% at high temperature and 19.6% at high voltage.

Keywords: Nanoscale, aging, effect, NBTI, HCI.

Digital Object Identifier (DOI): doi.org/10.5281/zenodo.1129151

Procedia APA BibTeX Chicago EndNote Harvard JSON MLA RIS XML ISO 690 PDF Downloads 1362

References:


[1] Alam M A, Mahapatra S. “A comprehensive model of PMOS NBTI degradation,” Microelectronics Reliability, 2005, pp. 71-81
[2] Raychowdhury A, Geuskens B, Kulkarni J, et al. “PVT-and-aging adaptive wordline boosting for 8T SRAM power reduction,” IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2010, pp. 352-353
[3] Mostafa H, Anis M, Elmasry M. “Adaptive body bias for reducing the impacts of NBTI and process variations on 6T SRAM cells,” IEEE Trans Circuits Syst I: Regular Papers, 2011, Vol. 58, pp. 2859-2871
[4] Cannon E H, Osowski A K, Kanj R, et al. “The impact of Aging effects and manufacturing variation on SRAM soft-error rate,” IEEE Trans Device Materials Reliability, 2008, Vol. 8, pp. 145-152
[5] Wooters S N, Cabe A C, Qi Z, et al. “Tracking on-chip age using distributed, embedded sensors,” IEEE Trans Very Large Scale Integration (VLSI) Syst, 2012, Vol. 20, pp. 1974-1985
[6] Kim T H, Persaud R, Kim C H. “Silicon odometer: an on-chip reliability monitor for measuring frequency degradation of digital circuits,” IEEE J. Solid-State Circuits, 2008, vol 43, pp. 874-880
[7] Vattikonda R, Wang W, Cao Y. “Modeling and minimization of PMOS NBTI effect for robust nanometer design,” ACM/IEEE Design Automation Conference, 2006, pp. 1047-1052
[8] Alam M A, Mahapatra S. “Digital integrated circuits: a design perspective,” 2nd ed. Beijing: Publishing House of Electronics Industry, 2008, 761 p.
[9] Wang P, Zhang Y, Han J, et al. “Architecture and physical implementation of reconfigurable multi-port physical unclonable functions in 65nm CMOS,” IEICE Trans Fundamentals of Electronics, Communications and Computer Sciences, 2013, Vol. 96, pp. 963-970
[10] Li Sen, Jiang Jinguang, Zhou Xifeng, et al. “A low phase noise and low spur PLL frequency synthesizer for GNSS receivers,” Journal of Semiconductors, 2014, Vol. 35, No 1
[11] James W T, James T K, Siva G N, et al. “Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage,” IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2002, Vol. 37, pp. 1396 - 1402
[12] Kim K K, Wang W, Choi K. “On-chip aging sensor circuits for reliable nanometer MOSFET digital circuits” IEEE Trans Circuits Syst II: Express Briefs, 2010, Vol. 57, pp. 794-802
[13] Kang K, Sang P P, Kim K J, et al. “On-chip variability sensor using phase-locked loop for detecting and correcting parametric timing failures,” IEEE Trans Very Large Scale Integration (VLSI) Syst, 2010, Vol. 18, pp. 270-280.